aboutsummaryrefslogtreecommitdiffstats
Commit message (Expand)AuthorAgeFilesLines
...
| * | | | | remove include where not usedMiodrag Milanovic2020-03-131-1/+0
| | |_|_|/ | |/| | |
* | | | | Merge pull request #1764 from Xiretza/fix-abcrev-checkClaire Wolf2020-03-131-1/+5
|\ \ \ \ \ | |/ / / / |/| | | |
| * | | | Improve ABC repository management in MakefileXiretza2020-03-121-1/+5
|/ / / /
* | | | Added filter-out for libyosys.soMiodrag Milanovic2020-03-121-1/+1
* | | | Revert "Clean up 'install' Makefile target"Miodrag Milanovic2020-03-121-4/+7
* | | | Revert "Improve ABC repository management in Makefile"Miodrag Milanovic2020-03-121-5/+1
* | | | Merge pull request #1666 from Xiretza/improve-makefileMiodrag Milanović2020-03-121-8/+9
|\ \ \ \
| * | | | Improve ABC repository management in MakefileXiretza2020-01-291-1/+5
| * | | | Clean up 'install' Makefile targetXiretza2020-01-291-7/+4
* | | | | Merge pull request #1751 from boqwxp/add_assertN. Engelhardt2020-03-121-1/+57
|\ \ \ \ \
| * | | | | Extend `add` command to allow adding cells for verification like $assert, $as...Alberto Gonzalez2020-03-101-1/+57
* | | | | | Add mandatory wasm file to zip file as wellMiodrag Milanovic2020-03-122-2/+3
* | | | | | Merge pull request #1757 from jiegec/fix-emccMiodrag Milanović2020-03-124-3/+9
|\ \ \ \ \ \ | |_|_|_|/ / |/| | | | |
| * | | | | Add EXTRA_EXPORTED_RUNTIME_METHODS env for yosysjsjiegec2020-03-111-0/+1
| * | | | | Fix compilation for emccjiegec2020-03-114-3/+8
|/ / / / /
* | | | | Merge pull request #1743 from YosysHQ/eddie/abc9_keepEddie Hung2020-03-113-25/+21
|\ \ \ \ \
| * | | | | xaiger: remove some unnecessary operations ...Eddie Hung2020-03-061-9/+2
| * | | | | abc9: for sccs, create a new wire instead of using entirety of existingEddie Hung2020-03-061-7/+7
| * | | | | abc9: (* keep *) wires to be PO only, not PI as well; fix scc handlingEddie Hung2020-03-062-11/+9
| * | | | | abc: add abc.debug scratchpad optionEddie Hung2020-03-061-0/+5
* | | | | | Merge pull request #1744 from YosysHQ/eddie/fix1675Eddie Hung2020-03-111-1/+1
|\ \ \ \ \ \
| * | | | | | Bump ABCREV to receive fix for #1675Eddie Hung2020-03-061-1/+1
| | |_|_|_|/ | |/| | | |
* | | | | | Merge pull request #1753 from YosysHQ/dave/abc9-speedupDavid Shah2020-03-103-7/+20
|\ \ \ \ \ \
| * | | | | | Add ScriptPass::run_nocheck and use for abc9David Shah2020-03-093-7/+20
| | |_|/ / / | |/| | | |
* | | | | | Merge pull request #1721 from YosysHQ/dave/tribuf-unusedDavid Shah2020-03-102-2/+15
|\ \ \ \ \ \
| * | | | | | deminout: Don't demote inouts with unused bitsDavid Shah2020-03-042-2/+15
* | | | | | | Merge pull request #1755 from boqwxp/add_cmd_cleanupN. Engelhardt2020-03-101-20/+17
|\ \ \ \ \ \ \ | |_|_|_|_|_|/ |/| | | | | |
| * | | | | | Clean up passes/cmds/add.cc code style.Alberto Gonzalez2020-03-101-20/+17
|/ / / / / /
* | | | | | Merge pull request #1747 from YosysHQ/claire/partselfixEddie Hung2020-03-092-4/+10
|\ \ \ \ \ \ | |_|/ / / / |/| | | | |
| * | | | | Fix partsel expr bit width handling and add test caseClaire Wolf2020-03-082-4/+10
| | |/ / / | |/| | |
* | | | | Merge pull request #1716 from zeldin/ecp5_fixN. Engelhardt2020-03-091-2/+0
|\ \ \ \ \ | |/ / / / |/| | | |
| * | | | remove unused parametersN. Engelhardt2020-03-061-3/+0
| * | | | ecp5: Add missing parameter to \$__ECP5_PDPW16KDMarcus Comstedt2020-02-221-0/+1
* | | | | Merge pull request #1742 from nakengelhardt/rpc-test-againMiodrag Milanović2020-03-061-1/+2
|\ \ \ \ \ | |_|_|/ / |/| | | |
| * | | | rpc test: make frontend listen before launching yosys & introduce safeguard i...N. Engelhardt2020-03-061-1/+2
|/ / / /
* | | | Merge pull request #1739 from YosysHQ/eddie/issue1738Eddie Hung2020-03-052-7/+18
|\ \ \ \
| * | | | ice40: fix specify for ICE40_{LP,U}Eddie Hung2020-03-051-4/+4
| * | | | tests: extend tests/arch/run-tests.sh for definesEddie Hung2020-03-051-3/+14
|/ / / /
* | | | ice40: fix implicit signal in specify, also clamp negative times to 0Eddie Hung2020-03-041-22/+22
* | | | Merge pull request #1735 from YosysHQ/eddie/abc9_dsp48e1Eddie Hung2020-03-044-109/+244
|\ \ \ \
| * | | | xilinx: consider DSP48E1.ADREGEddie Hung2020-03-044-5/+8
| * | | | xilinx: cleanup DSP48E1 handling for abc9Eddie Hung2020-03-043-86/+125
| * | | | xilinx: improve specify for DSP48E1Eddie Hung2020-03-041-32/+116
| * | | | xilinx: missing DSP48E1.PCIN timing from abc9_{map,model}.vEddie Hung2020-03-042-5/+14
* | | | | Merge pull request #1691 from ZirconiumX/use-flowmap-in-noabcN. Engelhardt2020-03-032-6/+39
|\ \ \ \ \
| * | | | | Add -flowmap to synth and synth_ice40Dan Ravensloft2020-02-282-6/+39
* | | | | | Fix bison warning for "pure-parser" optionClaire Wolf2020-03-031-1/+1
* | | | | | Merge pull request #1718 from boqwxp/precise_locationsClaire Wolf2020-03-0311-305/+388
|\ \ \ \ \ \
| * | | | | | Change attribute search value to specify precise location instead of simple l...Alberto Gonzalez2020-02-241-2/+2
| * | | | | | Change attribute search value to specify precise location instead of simple l...Alberto Gonzalez2020-02-241-2/+2