aboutsummaryrefslogtreecommitdiffstats
Commit message (Expand)AuthorAgeFilesLines
* wreduce: Refactor to use FfInitVals.Marcelina Kościelnicka2020-07-241-37/+7
* techmap: Refactor to use FfInitVals.Marcelina Kościelnicka2020-07-241-41/+4
* shregmap: Refactor to use FfInitVals.Marcelina Kościelnicka2020-07-241-39/+10
* abc: Refactor to use FfInitVals.Marcelina Kościelnicka2020-07-241-25/+6
* dffinit: Refactor to use FfInitVals.Marcelina Kościelnicka2020-07-241-41/+7
* zinit: Refactor to use FfInitVals.Marcelina Kościelnicka2020-07-242-45/+13
* dfflegalize: Refactor to use FfInitVals.Marcelina Kościelnicka2020-07-241-80/+25
* clk2fflogic: Support all FF types.Marcelina Kościelnicka2020-07-2420-324/+245
* satgen: Add support for dffe, sdff, sdffe, sdffce cells.Marcelina Kościelnicka2020-07-244-6/+88
* Add utility module for representing flip-flops.Marcelina Kościelnicka2020-07-232-0/+441
* memory_dff: recognize more dff cellsMarcelina Kościelnicka2020-07-231-11/+112
* Add utility module for dealing with init attributes.Marcelina Kościelnicka2020-07-232-0/+147
* Merge pull request #2285 from YosysHQ/mwk/techmap-cellnameclairexen2020-07-234-1/+50
|\
| * techmap: Add _TECHMAP_CELLNAME_ special parameter.Marcelina Kościelnicka2020-07-214-1/+50
* | Merge pull request #2294 from Ravenslofty/intel_alm_timingsclairexen2020-07-235-78/+95
|\ \
| * | intel_alm: add additional ABC9 timingsDan Ravensloft2020-07-235-78/+95
* | | Remove EXPLICIT_CARRY logic.Keith Rothman2020-07-233-150/+2
| |/ |/|
* | Merge pull request #2215 from boqwxp/qbfsat-solver-optionsclairexen2020-07-214-4/+45
|\ \
| * | smtio: Emit `mode: start` options before `set-logic` command and any other op...Alberto Gonzalez2020-07-201-1/+8
| * | smtio: Add support for parsing `yosys-smt2-solver-option` info statements.Alberto Gonzalez2020-07-201-3/+10
| * | qbfsat: Add `-solver-option` option.Alberto Gonzalez2020-07-202-1/+15
| * | smt2: Add `-solver-option` option.Alberto Gonzalez2020-07-201-0/+13
|/ /
* | Merge pull request #2282 from YosysHQ/claire/satunsatclairexen2020-07-202-4/+4
|\ \
| * | Only allow "sat" and "unsat" smt solver responses in yosys-smtbmcClaire Wolf2020-07-202-4/+4
* | | celltypes: Fix EN port name for some FF types.Marcelina Kościelnicka2020-07-201-4/+4
|/ /
* | Merge pull request #2276 from YosysHQ/mwk/satgen-ccclairexen2020-07-203-1166/+1190
|\ \ | |/ |/|
| * satgen: Move importCell out of the header.Marcelina Kościelnicka2020-07-193-1166/+1190
|/
* Merge pull request #2275 from YosysHQ/mwk/sf2-clkint-fixMiodrag Milanović2020-07-171-2/+6
|\
| * sf2: Emit CLKINT even if -clkbuf not passedMarcelina Kościelnicka2020-07-171-2/+6
|/
* Merge pull request #2274 from YosysHQ/mwk/anlogic-ff-fixMiodrag Milanović2020-07-171-12/+12
|\
| * anlogic: Fix FF mapping.Marcelina Kościelnicka2020-07-171-12/+12
* | Merge pull request #2229 from Ravenslofty/sf2_remove_sf2_iobsclairexen2020-07-164-214/+135
|\ \
| * | sf2: replace sf2_iobs with {clkbuf,iopad}mapDan Ravensloft2020-07-094-214/+135
* | | Merge pull request #2273 from whitequark/write-verilog-always-star-initialclairexen2020-07-161-0/+5
|\ \ \ | |_|/ |/| |
| * | verilog_backend: in non-SV mode, add a trigger for `always @*`.whitequark2020-07-161-0/+5
* | | Merge pull request #2272 from whitequark/write-verilog-svclairexen2020-07-162-11/+20
|\| |
| * | verilog_backend: add `-sv` option, make `-o <filename>.sv` work.whitequark2020-07-162-11/+20
* | | Merge pull request #2238 from YosysHQ/mwk/dfflegalize-anlogicMiodrag Milanović2020-07-164-62/+49
|\ \ \
| * | | anlogic: Use dfflegalize.Marcelina Kościelnicka2020-07-144-62/+49
* | | | Merge pull request #2226 from YosysHQ/mwk/nuke-efinix-gbufMiodrag Milanović2020-07-165-122/+11
|\ \ \ \
| * | | | efinix: Nuke efinix_gbuf in favor of clkbufmap.Marcelina Kościelnicka2020-07-045-122/+11
* | | | | Merge pull request #2270 from whitequark/cxxrtl-fix-typowhitequark2020-07-161-1/+1
|\ \ \ \ \
| * | | | | cxxrtl: fix typo. NFC.whitequark2020-07-141-1/+1
| | |_|/ / | |/| | |
* | | | | Merge pull request #2269 from YosysHQ/claire/bisonwallwhitequark2020-07-152-64/+57
|\ \ \ \ \
| * | | | | Treat all bison warnings as errors in verilog front-endClaire Wolf2020-07-151-1/+1
| * | | | | Use %precedence in verilog_parser.yClaire Wolf2020-07-151-4/+4
| * | | | | Fix bison warnings for missing %emptyClaire Wolf2020-07-151-59/+52
| * | | | | Run bison with -Wall for verilog front-endClaire Wolf2020-07-151-1/+1
|/ / / / /
* | | | | Merge pull request #2257 from antmicro/fix-conflictsclairexen2020-07-155-9/+59
|\ \ \ \ \
| * | | | | Add missing semicolonsKamil Rakoczy2020-07-151-5/+5