aboutsummaryrefslogtreecommitdiffstats
path: root/tests/various/abc9.v
diff options
context:
space:
mode:
Diffstat (limited to 'tests/various/abc9.v')
-rw-r--r--tests/various/abc9.v7
1 files changed, 7 insertions, 0 deletions
diff --git a/tests/various/abc9.v b/tests/various/abc9.v
index 30ebd4e26..f0b3f6837 100644
--- a/tests/various/abc9.v
+++ b/tests/various/abc9.v
@@ -9,3 +9,10 @@ wire w;
unknown u(~i, w);
unknown2 u2(w, o);
endmodule
+
+module abc9_test032(input clk, d, r, output reg q);
+initial q = 1'b0;
+always @(negedge clk or negedge r)
+ if (!r) q <= 1'b0;
+ else q <= d;
+endmodule