aboutsummaryrefslogtreecommitdiffstats
path: root/tests/various/abc9.v
blob: 30ebd4e26c9fb410c5f6bcd9c235fab64efa17dd (plain)
1
2
3
4
5
6
7
8
9
10
11
module abc9_test027(output reg o);
initial o = 1'b0;
always @*
    o <= ~o;
endmodule

module abc9_test028(input i, output o);
wire w;
unknown u(~i, w);
unknown2 u2(w, o);
endmodule