aboutsummaryrefslogtreecommitdiffstats
path: root/tests/svtypes/typedef_package.sv
diff options
context:
space:
mode:
Diffstat (limited to 'tests/svtypes/typedef_package.sv')
-rw-r--r--tests/svtypes/typedef_package.sv11
1 files changed, 11 insertions, 0 deletions
diff --git a/tests/svtypes/typedef_package.sv b/tests/svtypes/typedef_package.sv
new file mode 100644
index 000000000..a1e16d4b1
--- /dev/null
+++ b/tests/svtypes/typedef_package.sv
@@ -0,0 +1,11 @@
+package pkg;
+ typedef logic [7:0] uint8_t;
+endpackage
+
+module top;
+
+ (* keep *) (pkg::uint8_t) a = 8'hAA;
+
+ always @* assert(a == 8'hAA);
+
+endmodule