aboutsummaryrefslogtreecommitdiffstats
path: root/tests/sva
diff options
context:
space:
mode:
Diffstat (limited to 'tests/sva')
-rw-r--r--tests/sva/sva_range.sv2
1 files changed, 1 insertions, 1 deletions
diff --git a/tests/sva/sva_range.sv b/tests/sva/sva_range.sv
index 38199bff1..d1569fc83 100644
--- a/tests/sva/sva_range.sv
+++ b/tests/sva/sva_range.sv
@@ -5,7 +5,7 @@ module top (
default clocking @(posedge clk); endclocking
assert property (
- a ##[*] b |=> c until ##[*] d
+ a ##[*] b |=> c until d
);
`ifndef FAIL