aboutsummaryrefslogtreecommitdiffstats
path: root/tests/sva/basic04.sv
diff options
context:
space:
mode:
Diffstat (limited to 'tests/sva/basic04.sv')
-rw-r--r--tests/sva/basic04.sv4
1 files changed, 4 insertions, 0 deletions
diff --git a/tests/sva/basic04.sv b/tests/sva/basic04.sv
index 6f02f3c19..bc46be9f6 100644
--- a/tests/sva/basic04.sv
+++ b/tests/sva/basic04.sv
@@ -1,6 +1,10 @@
module top_properties (input logic clock, read, write, ready);
a_rw: assert property ( @(posedge clock) !(read && write) );
+`ifdef FAIL
a_wr: assert property ( @(posedge clock) write |-> ready );
+`else
+ a_wr: assert property ( @(posedge clock) write |=> ready );
+`endif
endmodule
bind top top_properties properties_inst (.*);