aboutsummaryrefslogtreecommitdiffstats
path: root/tests/sva/basic04.sv
blob: 6f02f3c19eb9403885f8d97492383cc2d1311672 (plain)
1
2
3
4
5
6
module top_properties (input logic clock, read, write, ready);
	a_rw: assert property ( @(posedge clock) !(read && write) );
	a_wr: assert property ( @(posedge clock) write |-> ready );
endmodule

bind top top_properties properties_inst (.*);