aboutsummaryrefslogtreecommitdiffstats
path: root/tests/simple/loop_var_shadow.v
diff options
context:
space:
mode:
Diffstat (limited to 'tests/simple/loop_var_shadow.v')
-rw-r--r--tests/simple/loop_var_shadow.v2
1 files changed, 1 insertions, 1 deletions
diff --git a/tests/simple/loop_var_shadow.v b/tests/simple/loop_var_shadow.v
index 0222a4493..b75a15ab0 100644
--- a/tests/simple/loop_var_shadow.v
+++ b/tests/simple/loop_var_shadow.v
@@ -1,4 +1,4 @@
-module top(out);
+module loop_var_shadow_top(out);
genvar i;
generate
for (i = 0; i < 2; i = i + 1) begin : loop