aboutsummaryrefslogtreecommitdiffstats
path: root/tests/simple/loop_var_shadow.v
diff options
context:
space:
mode:
authorClaire Xenia Wolf <claire@clairexen.net>2021-09-22 17:34:20 +0200
committerClaire Xenia Wolf <claire@clairexen.net>2021-09-23 14:54:28 +0200
commit15fb0107dcdfcf98c56f229727c7cd701ff9b4b3 (patch)
tree53d8c5a6530545103701e0842d926b40a657748c /tests/simple/loop_var_shadow.v
parent3931b3a03f65965daca20b1228d8882192e74650 (diff)
downloadyosys-15fb0107dcdfcf98c56f229727c7cd701ff9b4b3.tar.gz
yosys-15fb0107dcdfcf98c56f229727c7cd701ff9b4b3.tar.bz2
yosys-15fb0107dcdfcf98c56f229727c7cd701ff9b4b3.zip
Fix "make vgtest" so it runs to the end (but now it fails ;)
Signed-off-by: Claire Xenia Wolf <claire@clairexen.net>
Diffstat (limited to 'tests/simple/loop_var_shadow.v')
-rw-r--r--tests/simple/loop_var_shadow.v2
1 files changed, 1 insertions, 1 deletions
diff --git a/tests/simple/loop_var_shadow.v b/tests/simple/loop_var_shadow.v
index 0222a4493..b75a15ab0 100644
--- a/tests/simple/loop_var_shadow.v
+++ b/tests/simple/loop_var_shadow.v
@@ -1,4 +1,4 @@
-module top(out);
+module loop_var_shadow_top(out);
genvar i;
generate
for (i = 0; i < 2; i = i + 1) begin : loop