aboutsummaryrefslogtreecommitdiffstats
path: root/tests/ecp5/dpram.ys
diff options
context:
space:
mode:
Diffstat (limited to 'tests/ecp5/dpram.ys')
-rw-r--r--tests/ecp5/dpram.ys18
1 files changed, 18 insertions, 0 deletions
diff --git a/tests/ecp5/dpram.ys b/tests/ecp5/dpram.ys
new file mode 100644
index 000000000..7762ce788
--- /dev/null
+++ b/tests/ecp5/dpram.ys
@@ -0,0 +1,18 @@
+read_verilog dpram.v
+hierarchy -top top
+proc
+memory -nomap
+equiv_opt -run :prove -map +/ecp5/cells_sim.v synth_ecp5
+memory
+opt -full
+
+# TODO
+#equiv_opt -run prove: -assert null
+miter -equiv -flatten -make_assert -make_outputs gold gate miter
+#sat -verify -prove-asserts -tempinduct -show-inputs -show-outputs miter
+
+design -load postopt
+cd top
+select -assert-count 1 t:DP16KD
+select -assert-none t:DP16KD %% t:* %D
+write_verilog dpram_synth.v