aboutsummaryrefslogtreecommitdiffstats
path: root/tests/arch/xilinx/mul.ys
diff options
context:
space:
mode:
Diffstat (limited to 'tests/arch/xilinx/mul.ys')
-rw-r--r--tests/arch/xilinx/mul.ys12
1 files changed, 12 insertions, 0 deletions
diff --git a/tests/arch/xilinx/mul.ys b/tests/arch/xilinx/mul.ys
index b04833a43..049a3da7e 100644
--- a/tests/arch/xilinx/mul.ys
+++ b/tests/arch/xilinx/mul.ys
@@ -7,3 +7,15 @@ cd top # Constrain all select calls below inside the top module
select -assert-count 1 t:DSP48E1
select -assert-none t:DSP48E1 %% t:* %D
+
+design -reset
+
+read_verilog ../common/mul.v
+hierarchy -top top
+proc
+equiv_opt -assert -map +/xilinx/cells_sim.v synth_xilinx -family xc6s # equivalency check
+design -load postopt # load the post-opt design (otherwise equiv_opt loads the pre-opt design)
+cd top # Constrain all select calls below inside the top module
+
+select -assert-count 1 t:DSP48A1
+select -assert-none t:DSP48A1 %% t:* %D