aboutsummaryrefslogtreecommitdiffstats
path: root/tests/arch/anlogic
diff options
context:
space:
mode:
Diffstat (limited to 'tests/arch/anlogic')
-rw-r--r--tests/arch/anlogic/memory.v21
-rw-r--r--tests/arch/anlogic/memory.ys2
2 files changed, 1 insertions, 22 deletions
diff --git a/tests/arch/anlogic/memory.v b/tests/arch/anlogic/memory.v
deleted file mode 100644
index cb7753f7b..000000000
--- a/tests/arch/anlogic/memory.v
+++ /dev/null
@@ -1,21 +0,0 @@
-module top
-(
- input [7:0] data_a,
- input [6:1] addr_a,
- input we_a, clk,
- output reg [7:0] q_a
-);
- // Declare the RAM variable
- reg [7:0] ram[63:0];
-
- // Port A
- always @ (posedge clk)
- begin
- if (we_a)
- begin
- ram[addr_a] <= data_a;
- q_a <= data_a;
- end
- q_a <= ram[addr_a];
- end
-endmodule
diff --git a/tests/arch/anlogic/memory.ys b/tests/arch/anlogic/memory.ys
index 8c0ce844e..87b93c2fe 100644
--- a/tests/arch/anlogic/memory.ys
+++ b/tests/arch/anlogic/memory.ys
@@ -1,4 +1,4 @@
-read_verilog memory.v
+read_verilog ../common/memory.v
hierarchy -top top
proc
memory -nomap