aboutsummaryrefslogtreecommitdiffstats
path: root/tests/arch/anlogic/memory.ys
diff options
context:
space:
mode:
Diffstat (limited to 'tests/arch/anlogic/memory.ys')
-rw-r--r--tests/arch/anlogic/memory.ys2
1 files changed, 1 insertions, 1 deletions
diff --git a/tests/arch/anlogic/memory.ys b/tests/arch/anlogic/memory.ys
index 8c0ce844e..87b93c2fe 100644
--- a/tests/arch/anlogic/memory.ys
+++ b/tests/arch/anlogic/memory.ys
@@ -1,4 +1,4 @@
-read_verilog memory.v
+read_verilog ../common/memory.v
hierarchy -top top
proc
memory -nomap