aboutsummaryrefslogtreecommitdiffstats
path: root/techlibs
diff options
context:
space:
mode:
Diffstat (limited to 'techlibs')
-rw-r--r--techlibs/common/simlib.v12
1 files changed, 0 insertions, 12 deletions
diff --git a/techlibs/common/simlib.v b/techlibs/common/simlib.v
index d0a6cd495..922a47cab 100644
--- a/techlibs/common/simlib.v
+++ b/techlibs/common/simlib.v
@@ -1322,18 +1322,6 @@ endmodule
// --------------------------------------------------------
-module \$aconst (Y);
-
-parameter WIDTH = 0;
-
-output [WIDTH-1:0] Y;
-
-assign Y = 'bx;
-
-endmodule
-
-// --------------------------------------------------------
-
module \$anyconst (Y);
parameter WIDTH = 0;