aboutsummaryrefslogtreecommitdiffstats
path: root/techlibs
diff options
context:
space:
mode:
authorClifford Wolf <clifford@clifford.at>2016-08-30 19:09:56 +0200
committerClifford Wolf <clifford@clifford.at>2016-08-30 19:09:56 +0200
commit6f41e5277d1d41db7a620c73cf1b65558b55f236 (patch)
tree45cad8b0cafc31392a3fe71a505b442ee6a61ed7 /techlibs
parenta8124c137e2bfa3605dacadfe469ea22934b4cb3 (diff)
downloadyosys-6f41e5277d1d41db7a620c73cf1b65558b55f236.tar.gz
yosys-6f41e5277d1d41db7a620c73cf1b65558b55f236.tar.bz2
yosys-6f41e5277d1d41db7a620c73cf1b65558b55f236.zip
Removed $aconst cell type
Diffstat (limited to 'techlibs')
-rw-r--r--techlibs/common/simlib.v12
1 files changed, 0 insertions, 12 deletions
diff --git a/techlibs/common/simlib.v b/techlibs/common/simlib.v
index d0a6cd495..922a47cab 100644
--- a/techlibs/common/simlib.v
+++ b/techlibs/common/simlib.v
@@ -1322,18 +1322,6 @@ endmodule
// --------------------------------------------------------
-module \$aconst (Y);
-
-parameter WIDTH = 0;
-
-output [WIDTH-1:0] Y;
-
-assign Y = 'bx;
-
-endmodule
-
-// --------------------------------------------------------
-
module \$anyconst (Y);
parameter WIDTH = 0;