aboutsummaryrefslogtreecommitdiffstats
path: root/examples/gowin/demo.v
diff options
context:
space:
mode:
Diffstat (limited to 'examples/gowin/demo.v')
-rw-r--r--examples/gowin/demo.v4
1 files changed, 1 insertions, 3 deletions
diff --git a/examples/gowin/demo.v b/examples/gowin/demo.v
index 6ea108384..485fec97f 100644
--- a/examples/gowin/demo.v
+++ b/examples/gowin/demo.v
@@ -1,9 +1,7 @@
module demo (
input clk,
- input [3:0] sw,
output [15:0] leds,
- output [7:0] seg7dig,
- output [3:0] seg7sel
+ output unused
);
localparam PRESCALE = 20;
reg [PRESCALE+3:0] counter = 0;