aboutsummaryrefslogtreecommitdiffstats
path: root/examples/gowin/demo.v
diff options
context:
space:
mode:
authorClifford Wolf <clifford@clifford.at>2019-11-19 17:29:27 +0100
committerGitHub <noreply@github.com>2019-11-19 17:29:27 +0100
commit7ea0a5937ba2572f6d9d62e73e24df480c49561d (patch)
tree7825f438b83fdc730764ba15016eeeac9eb0cf41 /examples/gowin/demo.v
parent15232a48af60fb7da3c3afdd144882ace2194197 (diff)
parent8ab412eb16b1d4f98117247bf85e0c37627ee459 (diff)
downloadyosys-7ea0a5937ba2572f6d9d62e73e24df480c49561d.tar.gz
yosys-7ea0a5937ba2572f6d9d62e73e24df480c49561d.tar.bz2
yosys-7ea0a5937ba2572f6d9d62e73e24df480c49561d.zip
Merge pull request #1449 from pepijndevos/gowin
Improvements for gowin support
Diffstat (limited to 'examples/gowin/demo.v')
-rw-r--r--examples/gowin/demo.v4
1 files changed, 1 insertions, 3 deletions
diff --git a/examples/gowin/demo.v b/examples/gowin/demo.v
index 6ea108384..485fec97f 100644
--- a/examples/gowin/demo.v
+++ b/examples/gowin/demo.v
@@ -1,9 +1,7 @@
module demo (
input clk,
- input [3:0] sw,
output [15:0] leds,
- output [7:0] seg7dig,
- output [3:0] seg7sel
+ output unused
);
localparam PRESCALE = 20;
reg [PRESCALE+3:0] counter = 0;