aboutsummaryrefslogtreecommitdiffstats
path: root/tests
diff options
context:
space:
mode:
authorEddie Hung <eddie@fpgeh.com>2019-09-05 13:01:27 -0700
committerEddie Hung <eddie@fpgeh.com>2019-09-05 13:01:27 -0700
commite742478e1d4ffc93efd8dfe6f6d7fb53eef0305e (patch)
tree54212587a715cc669b6022bee8c2e564948ce4d1 /tests
parenta32b14a55f888664981dc6b1184b00f34f5f4201 (diff)
parent903cd58acf7c490e0b75e34742966dc62e61028f (diff)
downloadyosys-e742478e1d4ffc93efd8dfe6f6d7fb53eef0305e.tar.gz
yosys-e742478e1d4ffc93efd8dfe6f6d7fb53eef0305e.tar.bz2
yosys-e742478e1d4ffc93efd8dfe6f6d7fb53eef0305e.zip
Merge remote-tracking branch 'origin/master' into xc7dsp
Diffstat (limited to 'tests')
-rw-r--r--tests/various/abc9.v4
1 files changed, 3 insertions, 1 deletions
diff --git a/tests/various/abc9.v b/tests/various/abc9.v
index a08b613a8..30ebd4e26 100644
--- a/tests/various/abc9.v
+++ b/tests/various/abc9.v
@@ -5,5 +5,7 @@ always @*
endmodule
module abc9_test028(input i, output o);
-unknown u(~i, o);
+wire w;
+unknown u(~i, w);
+unknown2 u2(w, o);
endmodule