aboutsummaryrefslogtreecommitdiffstats
path: root/tests/verilog/wire_and_var.sv
diff options
context:
space:
mode:
authorZachary Snow <zach@zachjs.com>2021-01-20 09:15:48 -0700
committerZachary Snow <zach@zachjs.com>2021-01-20 09:16:21 -0700
commit006c18fc112a686a20b2b138ddc3bf773ee2f2f5 (patch)
tree9f6fc3b77e4aa65f4184dacca4871bb318280827 /tests/verilog/wire_and_var.sv
parent4762cc06c6b7cd36dda2e6eddf15b9782334ccd4 (diff)
downloadyosys-006c18fc112a686a20b2b138ddc3bf773ee2f2f5.tar.gz
yosys-006c18fc112a686a20b2b138ddc3bf773ee2f2f5.tar.bz2
yosys-006c18fc112a686a20b2b138ddc3bf773ee2f2f5.zip
sv: fix support wire and var data type modifiers
Diffstat (limited to 'tests/verilog/wire_and_var.sv')
-rw-r--r--tests/verilog/wire_and_var.sv33
1 files changed, 33 insertions, 0 deletions
diff --git a/tests/verilog/wire_and_var.sv b/tests/verilog/wire_and_var.sv
new file mode 100644
index 000000000..79c7c04c6
--- /dev/null
+++ b/tests/verilog/wire_and_var.sv
@@ -0,0 +1,33 @@
+`define TEST(kwd) \
+ kwd kwd``_1; \
+ kwd kwd``_2; \
+ initial kwd``_1 = 1; \
+ assign kwd``_2 = 1;
+
+`define TEST_VAR(kwd) \
+ var kwd var_``kwd``_1; \
+ var kwd var_``kwd``_2; \
+ initial var_``kwd``_1 = 1; \
+ assign var_``kwd``_2 = 1;
+
+`define TEST_WIRE(kwd) \
+ wire kwd wire_``kwd``_1; \
+ wire kwd wire_``kwd``_2; \
+ initial wire_``kwd``_1 = 1; \
+ assign wire_``kwd``_2 = 1;
+
+module top;
+
+`TEST(wire) // wire assigned in a block
+`TEST(reg) // reg assigned in a continuous assignment
+`TEST(logic)
+`TEST(integer)
+
+`TEST_VAR(reg) // reg assigned in a continuous assignment
+`TEST_VAR(logic)
+`TEST_VAR(integer)
+
+`TEST_WIRE(logic) // wire assigned in a block
+`TEST_WIRE(integer) // wire assigned in a block
+
+endmodule