aboutsummaryrefslogtreecommitdiffstats
path: root/tests/verilog/conflict_cell_memory.ys
diff options
context:
space:
mode:
authorZachary Snow <zach@zachjs.com>2021-02-26 18:08:23 -0500
committerZachary Snow <zach@zachjs.com>2021-02-26 18:08:23 -0500
commitbbff844acd15c274a6619050d1251aea4698ef56 (patch)
tree9d1532428e3b5e587a014ed4d66e2e9cbcd364ab /tests/verilog/conflict_cell_memory.ys
parentdcd9f0af23f9b580b044890452ecf1aef59bbb85 (diff)
downloadyosys-bbff844acd15c274a6619050d1251aea4698ef56.tar.gz
yosys-bbff844acd15c274a6619050d1251aea4698ef56.tar.bz2
yosys-bbff844acd15c274a6619050d1251aea4698ef56.zip
genrtlil: improve name conflict error messaging
Diffstat (limited to 'tests/verilog/conflict_cell_memory.ys')
-rw-r--r--tests/verilog/conflict_cell_memory.ys9
1 files changed, 9 insertions, 0 deletions
diff --git a/tests/verilog/conflict_cell_memory.ys b/tests/verilog/conflict_cell_memory.ys
new file mode 100644
index 000000000..ddc67596f
--- /dev/null
+++ b/tests/verilog/conflict_cell_memory.ys
@@ -0,0 +1,9 @@
+logger -expect error "Cannot add cell `\\x' because a memory with the same name was already created" 1
+read_verilog <<EOT
+module mod;
+endmodule
+module top;
+ reg [2:0] x [0:0];
+ mod x();
+endmodule
+EOT