aboutsummaryrefslogtreecommitdiffstats
path: root/tests/various
diff options
context:
space:
mode:
authorEddie Hung <eddie@fpgeh.com>2020-03-02 12:32:27 -0800
committerGitHub <noreply@github.com>2020-03-02 12:32:27 -0800
commit4f889b2f57b732083dd4bf336a0d361f70e5b2d0 (patch)
tree5f8e46c0273e9e9bee1d6e6a6775b3f58ec302da /tests/various
parentb1e248b0e6c7945870c83ac82bfb4ed8e9d8ff66 (diff)
parent090e54569a58b26d616806337c28507d199ca43c (diff)
downloadyosys-4f889b2f57b732083dd4bf336a0d361f70e5b2d0.tar.gz
yosys-4f889b2f57b732083dd4bf336a0d361f70e5b2d0.tar.bz2
yosys-4f889b2f57b732083dd4bf336a0d361f70e5b2d0.zip
Merge pull request #1724 from YosysHQ/eddie/abc9_specify
abc9: auto-generate *.lut/*.box files and arrival/required times from specify entries
Diffstat (limited to 'tests/various')
-rw-r--r--tests/various/bug1480.ys18
-rw-r--r--tests/various/pmux2shiftx.v2
2 files changed, 1 insertions, 19 deletions
diff --git a/tests/various/bug1480.ys b/tests/various/bug1480.ys
deleted file mode 100644
index 84faea08a..000000000
--- a/tests/various/bug1480.ys
+++ /dev/null
@@ -1,18 +0,0 @@
-read_verilog << EOF
-module top(...);
-
-input signed [17:0] A;
-input signed [17:0] B;
-output X;
-output Y;
-
-wire [35:0] P;
-assign P = A * B;
-
-assign X = P[0];
-assign Y = P[35];
-
-endmodule
-EOF
-
-synth_xilinx
diff --git a/tests/various/pmux2shiftx.v b/tests/various/pmux2shiftx.v
index 563394080..c1994e92c 100644
--- a/tests/various/pmux2shiftx.v
+++ b/tests/various/pmux2shiftx.v
@@ -33,7 +33,7 @@ module pmux2shiftx_test (
end
endmodule
-module issue01135(input [7:0] i, output o);
+module issue01135(input [7:0] i, output reg o);
always @*
case (i[6:3])
4: o <= i[0];