aboutsummaryrefslogtreecommitdiffstats
path: root/tests/various/muxpack.ys
diff options
context:
space:
mode:
authorEddie Hung <eddie@fpgeh.com>2019-06-07 08:34:58 -0700
committerEddie Hung <eddie@fpgeh.com>2019-06-07 08:34:58 -0700
commit0f6e914ef63d06ae77b54d246b61118c19647f26 (patch)
treed4e8f6b0c1e46750ad3660a9b43550907746c32c /tests/various/muxpack.ys
parent5c277c6325b78bfe18cf294b63ea69ff272e69c5 (diff)
downloadyosys-0f6e914ef63d06ae77b54d246b61118c19647f26.tar.gz
yosys-0f6e914ef63d06ae77b54d246b61118c19647f26.tar.bz2
yosys-0f6e914ef63d06ae77b54d246b61118c19647f26.zip
Another muxpack test
Diffstat (limited to 'tests/various/muxpack.ys')
-rw-r--r--tests/various/muxpack.ys15
1 files changed, 15 insertions, 0 deletions
diff --git a/tests/various/muxpack.ys b/tests/various/muxpack.ys
index 9ea743b9f..dd3c143d8 100644
--- a/tests/various/muxpack.ys
+++ b/tests/various/muxpack.ys
@@ -148,3 +148,18 @@ design -import gold -as gold
design -import gate -as gate
miter -equiv -flatten -make_assert -make_outputs gold gate miter
sat -verify -prove-asserts -show-ports miter
+
+design -load read
+hierarchy -top mux_if_bal_5_1
+prep
+design -save gold
+muxpack
+opt
+stat
+select -assert-count 2 t:$mux
+select -assert-count 1 t:$pmux
+design -stash gate
+design -import gold -as gold
+design -import gate -as gate
+miter -equiv -flatten -make_assert -make_outputs gold gate miter
+sat -verify -prove-asserts -show-ports miter