aboutsummaryrefslogtreecommitdiffstats
path: root/tests/various/muxpack.ys
diff options
context:
space:
mode:
authorEddie Hung <eddie@fpgeh.com>2019-06-06 14:21:34 -0700
committerEddie Hung <eddie@fpgeh.com>2019-06-06 14:21:34 -0700
commit5c277c6325b78bfe18cf294b63ea69ff272e69c5 (patch)
tree5407195159a09be5b3fd7f8af0e7d28dee7c6f75 /tests/various/muxpack.ys
parent0a66720f6f67b087fe6342d01d45944506240942 (diff)
downloadyosys-5c277c6325b78bfe18cf294b63ea69ff272e69c5.tar.gz
yosys-5c277c6325b78bfe18cf294b63ea69ff272e69c5.tar.bz2
yosys-5c277c6325b78bfe18cf294b63ea69ff272e69c5.zip
Fix and test for balanced case
Diffstat (limited to 'tests/various/muxpack.ys')
-rw-r--r--tests/various/muxpack.ys15
1 files changed, 15 insertions, 0 deletions
diff --git a/tests/various/muxpack.ys b/tests/various/muxpack.ys
index 0c5b82818..9ea743b9f 100644
--- a/tests/various/muxpack.ys
+++ b/tests/various/muxpack.ys
@@ -133,3 +133,18 @@ design -import gold -as gold
design -import gate -as gate
miter -equiv -flatten -make_assert -make_outputs gold gate miter
sat -verify -prove-asserts -show-ports miter
+
+design -load read
+hierarchy -top mux_if_bal_8_2
+prep
+design -save gold
+muxpack
+opt
+stat
+select -assert-count 7 t:$mux
+select -assert-count 0 t:$pmux
+design -stash gate
+design -import gold -as gold
+design -import gate -as gate
+miter -equiv -flatten -make_assert -make_outputs gold gate miter
+sat -verify -prove-asserts -show-ports miter