aboutsummaryrefslogtreecommitdiffstats
path: root/tests/various/const_func.ys
diff options
context:
space:
mode:
authorZachary Snow <zach@zachjs.com>2020-06-26 19:52:36 -0700
committerZachary Snow <zach@zachjs.com>2020-06-29 16:06:17 -0600
commit27cec16cda7d10e94931b25711358da2b382fdbf (patch)
tree0aa166b168110f903e8ac5f3f22d1e0b028ef14b /tests/various/const_func.ys
parent4160acc0b19532d50435abbc66bd2f6aab9473b6 (diff)
downloadyosys-27cec16cda7d10e94931b25711358da2b382fdbf.tar.gz
yosys-27cec16cda7d10e94931b25711358da2b382fdbf.tar.bz2
yosys-27cec16cda7d10e94931b25711358da2b382fdbf.zip
Allow constant function calls in for loops and generate if and case
Diffstat (limited to 'tests/various/const_func.ys')
-rw-r--r--tests/various/const_func.ys1
1 files changed, 1 insertions, 0 deletions
diff --git a/tests/various/const_func.ys b/tests/various/const_func.ys
new file mode 100644
index 000000000..5e3c04105
--- /dev/null
+++ b/tests/various/const_func.ys
@@ -0,0 +1 @@
+read_verilog const_func.v