aboutsummaryrefslogtreecommitdiffstats
path: root/tests/simple_abc9/abc9.v
diff options
context:
space:
mode:
authorEddie Hung <eddieh@ece.ubc.ca>2019-02-20 15:34:59 -0800
committerEddie Hung <eddieh@ece.ubc.ca>2019-02-20 15:34:59 -0800
commit43d5471570bd208ec87e2994f53a835c1e8ef3b9 (patch)
tree0483c61044253d544f57fa8b431f6ed5066d8b2d /tests/simple_abc9/abc9.v
parent945bbcc2989c6b6cad2ef3b9aae253f23d6a2697 (diff)
downloadyosys-43d5471570bd208ec87e2994f53a835c1e8ef3b9.tar.gz
yosys-43d5471570bd208ec87e2994f53a835c1e8ef3b9.tar.bz2
yosys-43d5471570bd208ec87e2994f53a835c1e8ef3b9.zip
Move tests/techmap/abc9 to simple_abc9
Diffstat (limited to 'tests/simple_abc9/abc9.v')
-rw-r--r--tests/simple_abc9/abc9.v6
1 files changed, 6 insertions, 0 deletions
diff --git a/tests/simple_abc9/abc9.v b/tests/simple_abc9/abc9.v
new file mode 100644
index 000000000..2d9aea366
--- /dev/null
+++ b/tests/simple_abc9/abc9.v
@@ -0,0 +1,6 @@
+module top(input [1:0] a, output [1:0] b, output c, output d, output e);
+assign b = a;
+assign c = ^a;
+assign d = ~c;
+assign e = d;
+endmodule