From 43d5471570bd208ec87e2994f53a835c1e8ef3b9 Mon Sep 17 00:00:00 2001 From: Eddie Hung Date: Wed, 20 Feb 2019 15:34:59 -0800 Subject: Move tests/techmap/abc9 to simple_abc9 --- tests/simple_abc9/abc9.v | 6 ++++++ 1 file changed, 6 insertions(+) create mode 100644 tests/simple_abc9/abc9.v (limited to 'tests/simple_abc9/abc9.v') diff --git a/tests/simple_abc9/abc9.v b/tests/simple_abc9/abc9.v new file mode 100644 index 000000000..2d9aea366 --- /dev/null +++ b/tests/simple_abc9/abc9.v @@ -0,0 +1,6 @@ +module top(input [1:0] a, output [1:0] b, output c, output d, output e); +assign b = a; +assign c = ^a; +assign d = ~c; +assign e = d; +endmodule -- cgit v1.2.3