aboutsummaryrefslogtreecommitdiffstats
path: root/tests/simple/specify.v
diff options
context:
space:
mode:
authorMiodrag Milanovic <mmicko@gmail.com>2022-03-30 17:25:53 +0200
committerMiodrag Milanovic <mmicko@gmail.com>2022-03-30 17:25:53 +0200
commitbbf65702a1859d7216f71e1df1193dca6c49cabf (patch)
treea3fa319809bbaed8a3087833fc08d6affbce47a5 /tests/simple/specify.v
parent72e5498bdf12fe841ad0468ea586919965165e36 (diff)
downloadyosys-bbf65702a1859d7216f71e1df1193dca6c49cabf.tar.gz
yosys-bbf65702a1859d7216f71e1df1193dca6c49cabf.tar.bz2
yosys-bbf65702a1859d7216f71e1df1193dca6c49cabf.zip
Fix valgrind tests when using verific
Diffstat (limited to 'tests/simple/specify.v')
-rw-r--r--tests/simple/specify.v2
1 files changed, 1 insertions, 1 deletions
diff --git a/tests/simple/specify.v b/tests/simple/specify.v
index f19418d90..2c784ef6d 100644
--- a/tests/simple/specify.v
+++ b/tests/simple/specify.v
@@ -1,4 +1,4 @@
-module test_specify;
+module test_specify(input A, output B);
specparam a=1;