aboutsummaryrefslogtreecommitdiffstats
path: root/tests/simple/hierdefparam.v
diff options
context:
space:
mode:
authorMiodrag Milanovic <mmicko@gmail.com>2022-03-30 17:25:53 +0200
committerMiodrag Milanovic <mmicko@gmail.com>2022-03-30 17:25:53 +0200
commitbbf65702a1859d7216f71e1df1193dca6c49cabf (patch)
treea3fa319809bbaed8a3087833fc08d6affbce47a5 /tests/simple/hierdefparam.v
parent72e5498bdf12fe841ad0468ea586919965165e36 (diff)
downloadyosys-bbf65702a1859d7216f71e1df1193dca6c49cabf.tar.gz
yosys-bbf65702a1859d7216f71e1df1193dca6c49cabf.tar.bz2
yosys-bbf65702a1859d7216f71e1df1193dca6c49cabf.zip
Fix valgrind tests when using verific
Diffstat (limited to 'tests/simple/hierdefparam.v')
-rw-r--r--tests/simple/hierdefparam.v6
1 files changed, 3 insertions, 3 deletions
diff --git a/tests/simple/hierdefparam.v b/tests/simple/hierdefparam.v
index c9368ca7a..a6e0ac1b7 100644
--- a/tests/simple/hierdefparam.v
+++ b/tests/simple/hierdefparam.v
@@ -1,6 +1,6 @@
`default_nettype none
-module hierdefparam_top(input [7:0] A, output [7:0] Y);
+module hierdefparam_top(input wire [7:0] A, output wire [7:0] Y);
generate begin:foo
hierdefparam_a mod_a(.A(A), .Y(Y));
end endgenerate
@@ -8,7 +8,7 @@ module hierdefparam_top(input [7:0] A, output [7:0] Y);
defparam foo.mod_a.bar[1].mod_b.addvalue = 43;
endmodule
-module hierdefparam_a(input [7:0] A, output [7:0] Y);
+module hierdefparam_a(input wire [7:0] A, output wire [7:0] Y);
genvar i;
generate
for (i = 0; i < 2; i=i+1) begin:bar
@@ -19,7 +19,7 @@ module hierdefparam_a(input [7:0] A, output [7:0] Y);
assign bar[0].a = A, bar[1].a = bar[0].y, Y = bar[1].y;
endmodule
-module hierdefparam_b(input [7:0] A, output [7:0] Y);
+module hierdefparam_b(input wire [7:0] A, output wire [7:0] Y);
parameter [7:0] addvalue = 44;
assign Y = A + addvalue;
endmodule