aboutsummaryrefslogtreecommitdiffstats
path: root/tests/arch
diff options
context:
space:
mode:
authorEddie Hung <eddie@fpgeh.com>2020-06-03 08:37:07 -0700
committerGitHub <noreply@github.com>2020-06-03 08:37:07 -0700
commit46ed0db2ec883a4ce330c81f321511e36e35c0b3 (patch)
treef4a5b3f6c72ffaa4a72b78a580c6d5949b62f37c /tests/arch
parent577859fbdbefaabac4a3c61288264f2505261586 (diff)
parent60aa8049157eb4f0417022182aeb8c1581efe404 (diff)
downloadyosys-46ed0db2ec883a4ce330c81f321511e36e35c0b3.tar.gz
yosys-46ed0db2ec883a4ce330c81f321511e36e35c0b3.tar.bz2
yosys-46ed0db2ec883a4ce330c81f321511e36e35c0b3.zip
Merge pull request #2080 from YosysHQ/eddie/fix_test_warnings
tests: reduce test warnings
Diffstat (limited to 'tests/arch')
-rw-r--r--tests/arch/xilinx/pmgen_xilinx_srl.ys2
-rw-r--r--tests/arch/xilinx/xilinx_srl.v2
2 files changed, 2 insertions, 2 deletions
diff --git a/tests/arch/xilinx/pmgen_xilinx_srl.ys b/tests/arch/xilinx/pmgen_xilinx_srl.ys
index ea2f20487..e76fb20ab 100644
--- a/tests/arch/xilinx/pmgen_xilinx_srl.ys
+++ b/tests/arch/xilinx/pmgen_xilinx_srl.ys
@@ -1,6 +1,6 @@
read_verilog -icells <<EOT
module \$__XILINX_SHREG_ (input C, input D, input [31:0] L, input E, output Q, output SO);
- parameter DEPTH = 1;
+ parameter DEPTH = 2;
parameter [DEPTH-1:0] INIT = 0;
parameter CLKPOL = 1;
parameter ENPOL = 2;
diff --git a/tests/arch/xilinx/xilinx_srl.v b/tests/arch/xilinx/xilinx_srl.v
index bc2a15ab2..29920da41 100644
--- a/tests/arch/xilinx/xilinx_srl.v
+++ b/tests/arch/xilinx/xilinx_srl.v
@@ -29,7 +29,7 @@ endmodule
module $__XILINX_SHREG_(input C, D, E, input [1:0] L, output Q);
parameter CLKPOL = 1;
parameter ENPOL = 1;
-parameter DEPTH = 1;
+parameter DEPTH = 2;
parameter [DEPTH-1:0] INIT = {DEPTH{1'b0}};
reg [DEPTH-1:0] r = INIT;
wire clk = C ^ CLKPOL;