aboutsummaryrefslogtreecommitdiffstats
path: root/tests/arch/ecp5
diff options
context:
space:
mode:
authorMiodrag Milanovic <mmicko@gmail.com>2019-10-18 12:19:59 +0200
committerMiodrag Milanovic <mmicko@gmail.com>2019-10-18 12:19:59 +0200
commit5603595e5c0efd2afc9ba810e6e5992e5d81d44c (patch)
treedcf99c611410e055a7ea71c970938ed6ee50a3c6 /tests/arch/ecp5
parentab98f2dccf52a1bba396fe313ea0670603dc45ca (diff)
downloadyosys-5603595e5c0efd2afc9ba810e6e5992e5d81d44c.tar.gz
yosys-5603595e5c0efd2afc9ba810e6e5992e5d81d44c.tar.bz2
yosys-5603595e5c0efd2afc9ba810e6e5992e5d81d44c.zip
Share common tests
Diffstat (limited to 'tests/arch/ecp5')
-rw-r--r--tests/arch/ecp5/add_sub.v13
-rw-r--r--tests/arch/ecp5/add_sub.ys2
-rw-r--r--tests/arch/ecp5/adffs.v47
-rw-r--r--tests/arch/ecp5/adffs.ys2
-rw-r--r--tests/arch/ecp5/counter.v17
-rw-r--r--tests/arch/ecp5/counter.ys2
-rw-r--r--tests/arch/ecp5/dffs.v15
-rw-r--r--tests/arch/ecp5/dffs.ys2
-rw-r--r--tests/arch/ecp5/fsm.v55
-rw-r--r--tests/arch/ecp5/fsm.ys2
-rw-r--r--tests/arch/ecp5/latches.v24
-rw-r--r--tests/arch/ecp5/latches.ys3
-rw-r--r--tests/arch/ecp5/logic.v18
-rw-r--r--tests/arch/ecp5/logic.ys2
-rw-r--r--tests/arch/ecp5/mul.v11
-rw-r--r--tests/arch/ecp5/mul.ys2
-rw-r--r--tests/arch/ecp5/mux.v66
-rw-r--r--tests/arch/ecp5/mux.ys2
-rw-r--r--tests/arch/ecp5/shifter.v16
-rw-r--r--tests/arch/ecp5/shifter.ys2
-rw-r--r--tests/arch/ecp5/tribuf.v8
-rw-r--r--tests/arch/ecp5/tribuf.ys2
22 files changed, 11 insertions, 302 deletions
diff --git a/tests/arch/ecp5/add_sub.v b/tests/arch/ecp5/add_sub.v
deleted file mode 100644
index 177c32e30..000000000
--- a/tests/arch/ecp5/add_sub.v
+++ /dev/null
@@ -1,13 +0,0 @@
-module top
-(
- input [3:0] x,
- input [3:0] y,
-
- output [3:0] A,
- output [3:0] B
- );
-
-assign A = x + y;
-assign B = x - y;
-
-endmodule
diff --git a/tests/arch/ecp5/add_sub.ys b/tests/arch/ecp5/add_sub.ys
index ee72d732f..d85ce792e 100644
--- a/tests/arch/ecp5/add_sub.ys
+++ b/tests/arch/ecp5/add_sub.ys
@@ -1,4 +1,4 @@
-read_verilog add_sub.v
+read_verilog ../common/add_sub.v
hierarchy -top top
proc
equiv_opt -assert -map +/ecp5/cells_sim.v synth_ecp5 # equivalency check
diff --git a/tests/arch/ecp5/adffs.v b/tests/arch/ecp5/adffs.v
deleted file mode 100644
index 223b52d21..000000000
--- a/tests/arch/ecp5/adffs.v
+++ /dev/null
@@ -1,47 +0,0 @@
-module adff
- ( input d, clk, clr, output reg q );
- initial begin
- q = 0;
- end
- always @( posedge clk, posedge clr )
- if ( clr )
- q <= 1'b0;
- else
- q <= d;
-endmodule
-
-module adffn
- ( input d, clk, clr, output reg q );
- initial begin
- q = 0;
- end
- always @( posedge clk, negedge clr )
- if ( !clr )
- q <= 1'b0;
- else
- q <= d;
-endmodule
-
-module dffs
- ( input d, clk, pre, clr, output reg q );
- initial begin
- q = 0;
- end
- always @( posedge clk )
- if ( pre )
- q <= 1'b1;
- else
- q <= d;
-endmodule
-
-module ndffnr
- ( input d, clk, pre, clr, output reg q );
- initial begin
- q = 0;
- end
- always @( negedge clk )
- if ( !clr )
- q <= 1'b0;
- else
- q <= d;
-endmodule
diff --git a/tests/arch/ecp5/adffs.ys b/tests/arch/ecp5/adffs.ys
index c6780e565..01605df70 100644
--- a/tests/arch/ecp5/adffs.ys
+++ b/tests/arch/ecp5/adffs.ys
@@ -1,4 +1,4 @@
-read_verilog adffs.v
+read_verilog ../common/adffs.v
design -save read
hierarchy -top adff
diff --git a/tests/arch/ecp5/counter.v b/tests/arch/ecp5/counter.v
deleted file mode 100644
index 52852f8ac..000000000
--- a/tests/arch/ecp5/counter.v
+++ /dev/null
@@ -1,17 +0,0 @@
-module top (
-out,
-clk,
-reset
-);
- output [7:0] out;
- input clk, reset;
- reg [7:0] out;
-
- always @(posedge clk, posedge reset)
- if (reset) begin
- out <= 8'b0 ;
- end else
- out <= out + 1;
-
-
-endmodule
diff --git a/tests/arch/ecp5/counter.ys b/tests/arch/ecp5/counter.ys
index 8ef70778f..f9f60fbff 100644
--- a/tests/arch/ecp5/counter.ys
+++ b/tests/arch/ecp5/counter.ys
@@ -1,4 +1,4 @@
-read_verilog counter.v
+read_verilog ../common/counter.v
hierarchy -top top
proc
flatten
diff --git a/tests/arch/ecp5/dffs.v b/tests/arch/ecp5/dffs.v
deleted file mode 100644
index 3418787c9..000000000
--- a/tests/arch/ecp5/dffs.v
+++ /dev/null
@@ -1,15 +0,0 @@
-module dff
- ( input d, clk, output reg q );
- always @( posedge clk )
- q <= d;
-endmodule
-
-module dffe
- ( input d, clk, en, output reg q );
- initial begin
- q = 0;
- end
- always @( posedge clk )
- if ( en )
- q <= d;
-endmodule
diff --git a/tests/arch/ecp5/dffs.ys b/tests/arch/ecp5/dffs.ys
index a4f45d2fb..be97972db 100644
--- a/tests/arch/ecp5/dffs.ys
+++ b/tests/arch/ecp5/dffs.ys
@@ -1,4 +1,4 @@
-read_verilog dffs.v
+read_verilog ../common/dffs.v
design -save read
hierarchy -top dff
diff --git a/tests/arch/ecp5/fsm.v b/tests/arch/ecp5/fsm.v
deleted file mode 100644
index 368fbaace..000000000
--- a/tests/arch/ecp5/fsm.v
+++ /dev/null
@@ -1,55 +0,0 @@
- module fsm (
- clock,
- reset,
- req_0,
- req_1,
- gnt_0,
- gnt_1
- );
- input clock,reset,req_0,req_1;
- output gnt_0,gnt_1;
- wire clock,reset,req_0,req_1;
- reg gnt_0,gnt_1;
-
- parameter SIZE = 3 ;
- parameter IDLE = 3'b001,GNT0 = 3'b010,GNT1 = 3'b100,GNT2 = 3'b101 ;
-
- reg [SIZE-1:0] state;
- reg [SIZE-1:0] next_state;
-
- always @ (posedge clock)
- begin : FSM
- if (reset == 1'b1) begin
- state <= #1 IDLE;
- gnt_0 <= 0;
- gnt_1 <= 0;
- end else
- case(state)
- IDLE : if (req_0 == 1'b1) begin
- state <= #1 GNT0;
- gnt_0 <= 1;
- end else if (req_1 == 1'b1) begin
- gnt_1 <= 1;
- state <= #1 GNT0;
- end else begin
- state <= #1 IDLE;
- end
- GNT0 : if (req_0 == 1'b1) begin
- state <= #1 GNT0;
- end else begin
- gnt_0 <= 0;
- state <= #1 IDLE;
- end
- GNT1 : if (req_1 == 1'b1) begin
- state <= #1 GNT2;
- gnt_1 <= req_0;
- end
- GNT2 : if (req_0 == 1'b1) begin
- state <= #1 GNT1;
- gnt_1 <= req_1;
- end
- default : state <= #1 IDLE;
- endcase
- end
-
-endmodule
diff --git a/tests/arch/ecp5/fsm.ys b/tests/arch/ecp5/fsm.ys
index ded91e5f7..f834a4c6b 100644
--- a/tests/arch/ecp5/fsm.ys
+++ b/tests/arch/ecp5/fsm.ys
@@ -1,4 +1,4 @@
-read_verilog fsm.v
+read_verilog ../common/fsm.v
hierarchy -top fsm
proc
flatten
diff --git a/tests/arch/ecp5/latches.v b/tests/arch/ecp5/latches.v
deleted file mode 100644
index adb5d5319..000000000
--- a/tests/arch/ecp5/latches.v
+++ /dev/null
@@ -1,24 +0,0 @@
-module latchp
- ( input d, clk, en, output reg q );
- always @*
- if ( en )
- q <= d;
-endmodule
-
-module latchn
- ( input d, clk, en, output reg q );
- always @*
- if ( !en )
- q <= d;
-endmodule
-
-module latchsr
- ( input d, clk, en, clr, pre, output reg q );
- always @*
- if ( clr )
- q <= 1'b0;
- else if ( pre )
- q <= 1'b1;
- else if ( en )
- q <= d;
-endmodule
diff --git a/tests/arch/ecp5/latches.ys b/tests/arch/ecp5/latches.ys
index fc15a6910..3d011d74f 100644
--- a/tests/arch/ecp5/latches.ys
+++ b/tests/arch/ecp5/latches.ys
@@ -1,5 +1,4 @@
-
-read_verilog latches.v
+read_verilog ../common/latches.v
design -save read
hierarchy -top latchp
diff --git a/tests/arch/ecp5/logic.v b/tests/arch/ecp5/logic.v
deleted file mode 100644
index e5343cae0..000000000
--- a/tests/arch/ecp5/logic.v
+++ /dev/null
@@ -1,18 +0,0 @@
-module top
-(
- input [0:7] in,
- output B1,B2,B3,B4,B5,B6,B7,B8,B9,B10
- );
-
- assign B1 = in[0] & in[1];
- assign B2 = in[0] | in[1];
- assign B3 = in[0] ~& in[1];
- assign B4 = in[0] ~| in[1];
- assign B5 = in[0] ^ in[1];
- assign B6 = in[0] ~^ in[1];
- assign B7 = ~in[0];
- assign B8 = in[0];
- assign B9 = in[0:1] && in [2:3];
- assign B10 = in[0:1] || in [2:3];
-
-endmodule
diff --git a/tests/arch/ecp5/logic.ys b/tests/arch/ecp5/logic.ys
index 4f113a130..3298b198f 100644
--- a/tests/arch/ecp5/logic.ys
+++ b/tests/arch/ecp5/logic.ys
@@ -1,4 +1,4 @@
-read_verilog logic.v
+read_verilog ../common/logic.v
hierarchy -top top
proc
equiv_opt -assert -map +/ecp5/cells_sim.v synth_ecp5 # equivalency check
diff --git a/tests/arch/ecp5/mul.v b/tests/arch/ecp5/mul.v
deleted file mode 100644
index d5b48b1d7..000000000
--- a/tests/arch/ecp5/mul.v
+++ /dev/null
@@ -1,11 +0,0 @@
-module top
-(
- input [5:0] x,
- input [5:0] y,
-
- output [11:0] A,
- );
-
-assign A = x * y;
-
-endmodule
diff --git a/tests/arch/ecp5/mul.ys b/tests/arch/ecp5/mul.ys
index 0a91f892e..2105be52c 100644
--- a/tests/arch/ecp5/mul.ys
+++ b/tests/arch/ecp5/mul.ys
@@ -1,4 +1,4 @@
-read_verilog mul.v
+read_verilog ../common/mul.v
hierarchy -top top
proc
# Blocked by issue #1358 (Missing ECP5 simulation models)
diff --git a/tests/arch/ecp5/mux.v b/tests/arch/ecp5/mux.v
deleted file mode 100644
index 782424a9b..000000000
--- a/tests/arch/ecp5/mux.v
+++ /dev/null
@@ -1,66 +0,0 @@
-module mux2 (S,A,B,Y);
- input S;
- input A,B;
- output reg Y;
-
- always @(*)
- Y = (S)? B : A;
-endmodule
-
-module mux4 ( S, D, Y );
-
-input[1:0] S;
-input[3:0] D;
-output Y;
-
-reg Y;
-wire[1:0] S;
-wire[3:0] D;
-
-always @*
-begin
- case( S )
- 0 : Y = D[0];
- 1 : Y = D[1];
- 2 : Y = D[2];
- 3 : Y = D[3];
- endcase
-end
-
-endmodule
-
-module mux8 ( S, D, Y );
-
-input[2:0] S;
-input[7:0] D;
-output Y;
-
-reg Y;
-wire[2:0] S;
-wire[7:0] D;
-
-always @*
-begin
- case( S )
- 0 : Y = D[0];
- 1 : Y = D[1];
- 2 : Y = D[2];
- 3 : Y = D[3];
- 4 : Y = D[4];
- 5 : Y = D[5];
- 6 : Y = D[6];
- 7 : Y = D[7];
- endcase
-end
-
-endmodule
-
-module mux16 (D, S, Y);
- input [15:0] D;
- input [3:0] S;
- output Y;
-
-assign Y = D[S];
-
-endmodule
-
diff --git a/tests/arch/ecp5/mux.ys b/tests/arch/ecp5/mux.ys
index 8cfbd541b..92463aa32 100644
--- a/tests/arch/ecp5/mux.ys
+++ b/tests/arch/ecp5/mux.ys
@@ -1,4 +1,4 @@
-read_verilog mux.v
+read_verilog ../common/mux.v
design -save read
hierarchy -top mux2
diff --git a/tests/arch/ecp5/shifter.v b/tests/arch/ecp5/shifter.v
deleted file mode 100644
index 04ae49d83..000000000
--- a/tests/arch/ecp5/shifter.v
+++ /dev/null
@@ -1,16 +0,0 @@
-module top (
-out,
-clk,
-in
-);
- output [7:0] out;
- input signed clk, in;
- reg signed [7:0] out = 0;
-
- always @(posedge clk)
- begin
- out <= out >> 1;
- out[7] <= in;
- end
-
-endmodule
diff --git a/tests/arch/ecp5/shifter.ys b/tests/arch/ecp5/shifter.ys
index e1901e1a8..3f0079f4a 100644
--- a/tests/arch/ecp5/shifter.ys
+++ b/tests/arch/ecp5/shifter.ys
@@ -1,4 +1,4 @@
-read_verilog shifter.v
+read_verilog ../common/shifter.v
hierarchy -top top
proc
flatten
diff --git a/tests/arch/ecp5/tribuf.v b/tests/arch/ecp5/tribuf.v
deleted file mode 100644
index 90dd314e4..000000000
--- a/tests/arch/ecp5/tribuf.v
+++ /dev/null
@@ -1,8 +0,0 @@
-module tristate (en, i, o);
- input en;
- input i;
- output o;
-
- assign o = en ? i : 1'bz;
-
-endmodule
diff --git a/tests/arch/ecp5/tribuf.ys b/tests/arch/ecp5/tribuf.ys
index a6e9c9598..0118705a2 100644
--- a/tests/arch/ecp5/tribuf.ys
+++ b/tests/arch/ecp5/tribuf.ys
@@ -1,4 +1,4 @@
-read_verilog tribuf.v
+read_verilog ../common/tribuf.v
hierarchy -top tristate
proc
flatten