aboutsummaryrefslogtreecommitdiffstats
path: root/techlibs
diff options
context:
space:
mode:
authorEddie Hung <eddie@fpgeh.com>2019-08-19 09:51:49 -0700
committerEddie Hung <eddie@fpgeh.com>2019-08-19 09:51:49 -0700
commite301440a0bae76dcff159c77274c91aad40021c0 (patch)
tree43cedc2d3f7e88a826232c118cb435debdc91fba /techlibs
parent9bfe924e17a87fac8a35fcb7ff5e067f6c520e07 (diff)
downloadyosys-e301440a0bae76dcff159c77274c91aad40021c0.tar.gz
yosys-e301440a0bae76dcff159c77274c91aad40021c0.tar.bz2
yosys-e301440a0bae76dcff159c77274c91aad40021c0.zip
Use attributes instead of params
Diffstat (limited to 'techlibs')
-rw-r--r--techlibs/xilinx/abc_ff.v42
1 files changed, 12 insertions, 30 deletions
diff --git a/techlibs/xilinx/abc_ff.v b/techlibs/xilinx/abc_ff.v
index 19f86365a..1d308fa96 100644
--- a/techlibs/xilinx/abc_ff.v
+++ b/techlibs/xilinx/abc_ff.v
@@ -26,13 +26,12 @@ module FDRE (output reg Q, input C, CE, D, R);
parameter [0:0] IS_D_INVERTED = 1'b0;
parameter [0:0] IS_R_INVERTED = 1'b0;
wire \$nextQ ;
+ (* abc_flop_clk_pol="!IS_C_INVERTED", abc_flop_en_pol=1 *)
\$__ABC_FDRE #(
.INIT(INIT),
.IS_C_INVERTED(IS_C_INVERTED),
.IS_D_INVERTED(IS_D_INVERTED),
- .IS_R_INVERTED(IS_R_INVERTED),
- .\$abc_flop_clk_pol (!IS_C_INVERTED),
- .\$abc_flop_en_pol (1'b1)
+ .IS_R_INVERTED(IS_R_INVERTED)
) _TECHMAP_REPLACE_ (
.D(D), .Q(\$nextQ ), .\$pastQ (Q), .C(C), .CE(CE), .R(R)
);
@@ -41,9 +40,8 @@ endmodule
module FDRE_1 (output reg Q, input C, CE, D, R);
parameter [0:0] INIT = 1'b0;
wire \$nextQ ;
- \$__ABC_FDRE_1 #(.INIT(|0),
- .\$abc_flop_clk_pol (1'b1),
- .\$abc_flop_en_pol (1'b1)
+ (* abc_flop_clk_pol=1, abc_flop_en_pol=1 *)
+ \$__ABC_FDRE_1 #(.INIT(|0)
) _TECHMAP_REPLACE_ (
.D(D), .Q(\$nextQ ), .\$pastQ (Q), .C(C), .CE(CE), .R(R)
);
@@ -56,13 +54,12 @@ module FDCE (output reg Q, input C, CE, D, CLR);
parameter [0:0] IS_D_INVERTED = 1'b0;
parameter [0:0] IS_CLR_INVERTED = 1'b0;
wire \$nextQ , \$currQ ;
+ (* abc_flop_clk_pol="!IS_C_INVERTED", abc_flop_en_pol=1 *)
\$__ABC_FDCE #(
.INIT(INIT),
.IS_C_INVERTED(IS_C_INVERTED),
.IS_D_INVERTED(IS_D_INVERTED),
- .IS_CLR_INVERTED(IS_CLR_INVERTED),
- .\$abc_flop_clk_pol (!IS_C_INVERTED),
- .\$abc_flop_en_pol (1'b1)
+ .IS_CLR_INVERTED(IS_CLR_INVERTED)
) _TECHMAP_REPLACE_ (
.D(D), .Q(\$nextQ ), .\$pastQ (Q), .C(C), .CE(CE), .CLR(CLR)
);
@@ -72,10 +69,9 @@ endmodule
module FDCE_1 (output reg Q, input C, CE, D, CLR);
parameter [0:0] INIT = 1'b0;
wire \$nextQ , \$currQ ;
+ (* abc_flop_clk_pol=1, abc_flop_en_pol=1 *)
\$__ABC_FDCE_1 #(
- .INIT(INIT),
- .\$abc_flop_clk_pol (1'b1),
- .\$abc_flop_en_pol (1'b1)
+ .INIT(INIT)
) _TECHMAP_REPLACE_ (
.D(D), .Q(\$nextQ ), .\$pastQ (Q), .C(C), .CE(CE), .CLR(CLR)
);
@@ -89,13 +85,12 @@ module FDPE (output reg Q, input C, CE, D, PRE);
parameter [0:0] IS_D_INVERTED = 1'b0;
parameter [0:0] IS_PRE_INVERTED = 1'b0;
wire \$nextQ , \$currQ ;
+ (* abc_flop_clk_pol="!IS_C_INVERTED", abc_flop_en_pol=1 *)
\$__ABC_FDPE #(
.INIT(INIT),
.IS_C_INVERTED(IS_C_INVERTED),
.IS_D_INVERTED(IS_D_INVERTED),
- .IS_PRE_INVERTED(IS_PRE_INVERTED),
- .\$abc_flop_clk_pol (!IS_C_INVERTED),
- .\$abc_flop_en_pol (1'b1)
+ .IS_PRE_INVERTED(IS_PRE_INVERTED)
) _TECHMAP_REPLACE_ (
.D(D), .Q(\$nextQ ), .\$pastQ (Q), .C(C), .CE(CE), .PRE(PRE)
);
@@ -105,10 +100,9 @@ endmodule
module FDPE_1 (output reg Q, input C, CE, D, PRE);
parameter [0:0] INIT = 1'b0;
wire \$nextQ , \$currQ ;
+ (* abc_flop_clk_pol=1, abc_flop_en_pol=1 *)
\$__ABC_FDPE_1 #(
- .INIT(INIT),
- .\$abc_flop_clk_pol (1'b1),
- .\$abc_flop_en_pol (1'b1)
+ .INIT(INIT)
) _TECHMAP_REPLACE_ (
.D(D), .Q(\$nextQ ), .\$pastQ (Q), .C(C), .CE(CE), .PRE(PRE)
);
@@ -134,8 +128,6 @@ module \$__ABC_FDRE ((* abc_flop_q *) output Q,
parameter [0:0] IS_C_INVERTED = 1'b0;
parameter [0:0] IS_D_INVERTED = 1'b0;
parameter [0:0] IS_R_INVERTED = 1'b0;
- parameter \$abc_flop_clk_pol = ~IS_C_INVERTED;
- parameter \$abc_flop_en_pol = 1'b1;
assign Q = (R ^ IS_R_INVERTED) ? 1'b0 : (CE ? (D ^ IS_D_INVERTED) : \$pastQ );
endmodule
@@ -146,8 +138,6 @@ module \$__ABC_FDRE_1 ((* abc_flop_q *) output Q,
(* abc_flop_d *) input D,
input R, \$pastQ );
parameter [0:0] INIT = 1'b0;
- parameter \$abc_flop_clk_pol = 1'b0;
- parameter \$abc_flop_en_pol = 1'b1;
assign Q = R ? 1'b0 : (CE ? D : \$pastQ );
endmodule
@@ -161,8 +151,6 @@ module \$__ABC_FDCE ((* abc_flop_q *) output Q,
parameter [0:0] IS_C_INVERTED = 1'b0;
parameter [0:0] IS_D_INVERTED = 1'b0;
parameter [0:0] IS_CLR_INVERTED = 1'b0;
- parameter \$abc_flop_clk_pol = ~IS_C_INVERTED;
- parameter \$abc_flop_en_pol = 1'b1;
assign Q = (CE && !(CLR ^ IS_CLR_INVERTED)) ? (D ^ IS_D_INVERTED) : \$pastQ ;
endmodule
@@ -173,8 +161,6 @@ module \$__ABC_FDCE_1 ((* abc_flop_q *) output Q,
(* abc_flop_d *) input D,
input CLR, \$pastQ );
parameter [0:0] INIT = 1'b0;
- parameter \$abc_flop_clk_pol = 1'b0;
- parameter \$abc_flop_en_inv = 1'b1;
assign Q = (CE && !CLR) ? D : \$pastQ ;
endmodule
@@ -188,8 +174,6 @@ module \$__ABC_FDPE ((* abc_flop_q *) output Q,
parameter [0:0] IS_C_INVERTED = 1'b0;
parameter [0:0] IS_D_INVERTED = 1'b0;
parameter [0:0] IS_PRE_INVERTED = 1'b0;
- parameter \$abc_flop_clk_pol = ~IS_C_INVERTED;
- parameter \$abc_flop_en_pol = 1'b1;
assign Q = (CE && !(PRE ^ IS_PRE_INVERTED)) ? (D ^ IS_D_INVERTED) : \$pastQ ;
endmodule
@@ -200,8 +184,6 @@ module \$__ABC_FDPE_1 ((* abc_flop_q *) output Q,
(* abc_flop_d *) input D,
input PRE, \$pastQ );
parameter [0:0] INIT = 1'b0;
- parameter \$abc_flop_clk_pol = 1'b0;
- parameter \$abc_flop_en_pol = 1'b1;
assign Q = (CE && !PRE) ? D : \$pastQ ;
endmodule