aboutsummaryrefslogtreecommitdiffstats
path: root/techlibs
diff options
context:
space:
mode:
authorEddie Hung <eddie@fpgeh.com>2019-08-19 09:56:17 -0700
committerEddie Hung <eddie@fpgeh.com>2019-08-19 09:56:17 -0700
commitd81a090d89d87837d3e18f9c724fe5c89ddf1f64 (patch)
tree414787e8cdd565eaf225c3b0a21c56d8d4134697 /techlibs
parent5abe133323b2a6a46959f796c4730b2d70cdea26 (diff)
downloadyosys-d81a090d89d87837d3e18f9c724fe5c89ddf1f64.tar.gz
yosys-d81a090d89d87837d3e18f9c724fe5c89ddf1f64.tar.bz2
yosys-d81a090d89d87837d3e18f9c724fe5c89ddf1f64.zip
Unify abc_carry_{in,out} into abc_carry and use port dir, as @mithro
Diffstat (limited to 'techlibs')
-rw-r--r--techlibs/ecp5/cells_sim.v4
-rw-r--r--techlibs/ice40/cells_sim.v4
-rw-r--r--techlibs/xilinx/cells_sim.v4
3 files changed, 6 insertions, 6 deletions
diff --git a/techlibs/ecp5/cells_sim.v b/techlibs/ecp5/cells_sim.v
index 864a3550f..2fcb0369e 100644
--- a/techlibs/ecp5/cells_sim.v
+++ b/techlibs/ecp5/cells_sim.v
@@ -17,10 +17,10 @@ endmodule
// ---------------------------------------
(* abc_box_id=1, lib_whitebox *)
module CCU2C(
- (* abc_carry_in *) input CIN,
+ (* abc_carry *) input CIN,
input A0, B0, C0, D0, A1, B1, C1, D1,
output S0, S1,
- (* abc_carry_out *) output COUT
+ (* abc_carry *) output COUT
);
parameter [15:0] INIT0 = 16'h0000;
parameter [15:0] INIT1 = 16'h0000;
diff --git a/techlibs/ice40/cells_sim.v b/techlibs/ice40/cells_sim.v
index 5b18fec27..ab04808f4 100644
--- a/techlibs/ice40/cells_sim.v
+++ b/techlibs/ice40/cells_sim.v
@@ -143,11 +143,11 @@ endmodule
(* abc_box_id = 1, lib_whitebox *)
module \$__ICE40_FULL_ADDER (
- (* abc_carry_out *) output CO,
+ (* abc_carry *) output CO,
output O,
input A,
input B,
- (* abc_carry_in *) input CI
+ (* abc_carry *) input CI
);
SB_CARRY carry (
.I0(A),
diff --git a/techlibs/xilinx/cells_sim.v b/techlibs/xilinx/cells_sim.v
index 910d0e246..bec9ea1a0 100644
--- a/techlibs/xilinx/cells_sim.v
+++ b/techlibs/xilinx/cells_sim.v
@@ -183,9 +183,9 @@ endmodule
(* abc_box_id = 4, lib_whitebox *)
module CARRY4(
- (* abc_carry_out *) output [3:0] CO,
+ (* abc_carry *) output [3:0] CO,
output [3:0] O,
- (* abc_carry_in *) input CI,
+ (* abc_carry *) input CI,
input CYINIT,
input [3:0] DI, S
);