aboutsummaryrefslogtreecommitdiffstats
path: root/techlibs
diff options
context:
space:
mode:
authorClifford Wolf <clifford@clifford.at>2013-10-26 22:29:40 +0200
committerClifford Wolf <clifford@clifford.at>2013-10-26 22:29:40 +0200
commitd635f8adaa40ca1e52c5da7b71d70284d6aef7dc (patch)
tree4738fa8de602cb2228c1c9ff9a5fe51a0ba8f071 /techlibs
parent4007b41d40147bf3d282f25f6c177a17fb2a8f76 (diff)
downloadyosys-d635f8adaa40ca1e52c5da7b71d70284d6aef7dc.tar.gz
yosys-d635f8adaa40ca1e52c5da7b71d70284d6aef7dc.tar.bz2
yosys-d635f8adaa40ca1e52c5da7b71d70284d6aef7dc.zip
Renamed techlibs/xilinx7 to techlibs/xilinx
Diffstat (limited to 'techlibs')
-rw-r--r--techlibs/xilinx/cells.v (renamed from techlibs/xilinx7/cells.v)0
-rw-r--r--techlibs/xilinx/counter.v (renamed from techlibs/xilinx7/counter.v)0
-rw-r--r--techlibs/xilinx/counter_tb.v (renamed from techlibs/xilinx7/counter_tb.v)0
-rw-r--r--techlibs/xilinx/example_mojo_counter/README (renamed from techlibs/xilinx7/example_mojo_counter/README)0
-rw-r--r--techlibs/xilinx/example_mojo_counter/example.sh (renamed from techlibs/xilinx7/example_mojo_counter/example.sh)0
-rw-r--r--techlibs/xilinx/example_mojo_counter/example.ucf (renamed from techlibs/xilinx7/example_mojo_counter/example.ucf)0
-rw-r--r--techlibs/xilinx/example_mojo_counter/example.v (renamed from techlibs/xilinx7/example_mojo_counter/example.v)0
-rw-r--r--techlibs/xilinx/run_testbench.sh (renamed from techlibs/xilinx7/run_testbench.sh)0
8 files changed, 0 insertions, 0 deletions
diff --git a/techlibs/xilinx7/cells.v b/techlibs/xilinx/cells.v
index 8c8b9c759..8c8b9c759 100644
--- a/techlibs/xilinx7/cells.v
+++ b/techlibs/xilinx/cells.v
diff --git a/techlibs/xilinx7/counter.v b/techlibs/xilinx/counter.v
index 72208bd80..72208bd80 100644
--- a/techlibs/xilinx7/counter.v
+++ b/techlibs/xilinx/counter.v
diff --git a/techlibs/xilinx7/counter_tb.v b/techlibs/xilinx/counter_tb.v
index b6b64269e..b6b64269e 100644
--- a/techlibs/xilinx7/counter_tb.v
+++ b/techlibs/xilinx/counter_tb.v
diff --git a/techlibs/xilinx7/example_mojo_counter/README b/techlibs/xilinx/example_mojo_counter/README
index 690a9d843..690a9d843 100644
--- a/techlibs/xilinx7/example_mojo_counter/README
+++ b/techlibs/xilinx/example_mojo_counter/README
diff --git a/techlibs/xilinx7/example_mojo_counter/example.sh b/techlibs/xilinx/example_mojo_counter/example.sh
index 87af0ea31..87af0ea31 100644
--- a/techlibs/xilinx7/example_mojo_counter/example.sh
+++ b/techlibs/xilinx/example_mojo_counter/example.sh
diff --git a/techlibs/xilinx7/example_mojo_counter/example.ucf b/techlibs/xilinx/example_mojo_counter/example.ucf
index 591cbe76f..591cbe76f 100644
--- a/techlibs/xilinx7/example_mojo_counter/example.ucf
+++ b/techlibs/xilinx/example_mojo_counter/example.ucf
diff --git a/techlibs/xilinx7/example_mojo_counter/example.v b/techlibs/xilinx/example_mojo_counter/example.v
index 8e79942e2..8e79942e2 100644
--- a/techlibs/xilinx7/example_mojo_counter/example.v
+++ b/techlibs/xilinx/example_mojo_counter/example.v
diff --git a/techlibs/xilinx7/run_testbench.sh b/techlibs/xilinx/run_testbench.sh
index b4251f496..b4251f496 100644
--- a/techlibs/xilinx7/run_testbench.sh
+++ b/techlibs/xilinx/run_testbench.sh