aboutsummaryrefslogtreecommitdiffstats
path: root/techlibs
diff options
context:
space:
mode:
authorBen Widawsky <ben@bwidawsk.net>2019-07-08 12:24:24 -0700
committerDan Ravensloft <dan.ravensloft@gmail.com>2019-07-18 17:06:03 +0100
commit809b94a67b0b666018b35536d4de327e99378a6f (patch)
tree703d8ba85c31a7ad0a13d5367f06aab2795b06d1 /techlibs
parent060e77c09b51aabe712315d5fd655f62a765d62f (diff)
downloadyosys-809b94a67b0b666018b35536d4de327e99378a6f.tar.gz
yosys-809b94a67b0b666018b35536d4de327e99378a6f.tar.bz2
yosys-809b94a67b0b666018b35536d4de327e99378a6f.zip
intel_synth: Make family explicit and match
The help and code default to MAX10 for the family, however the couple of if ladders defaulted to cycloneive. Fix this inconsistency and the next patch will clean it up. Signed-off-by: Ben Widawsky <ben@bwidawsk.net>
Diffstat (limited to 'techlibs')
-rw-r--r--techlibs/intel/synth_intel.cc8
1 files changed, 6 insertions, 2 deletions
diff --git a/techlibs/intel/synth_intel.cc b/techlibs/intel/synth_intel.cc
index 69f3b6334..9d5d593a4 100644
--- a/techlibs/intel/synth_intel.cc
+++ b/techlibs/intel/synth_intel.cc
@@ -176,8 +176,10 @@ struct SynthIntelPass : public ScriptPass {
run("read_verilog -sv -lib +/intel/cyclone10/cells_sim.v");
else if (check_label("family") && family_opt == "cycloneiv")
run("read_verilog -sv -lib +/intel/cycloneiv/cells_sim.v");
- else
+ else if (check_label("family") && family_opt == "cycloneive")
run("read_verilog -sv -lib +/intel/cycloneive/cells_sim.v");
+ else
+ log_cmd_error("Invalid or not family specified: '%s'\n", family_opt.c_str());
// Misc and common cells
run("read_verilog -sv -lib +/intel/common/m9k_bb.v");
run("read_verilog -sv -lib +/intel/common/altpll_bb.v");
@@ -236,8 +238,10 @@ struct SynthIntelPass : public ScriptPass {
run("techmap -map +/intel/cyclone10/cells_map.v");
else if (family_opt == "cycloneiv")
run("techmap -map +/intel/cycloneiv/cells_map.v");
- else
+ else if (family_opt == "cycloneive")
run("techmap -map +/intel/cycloneive/cells_map.v");
+ else
+ log_cmd_error("Invalid or not family specified: '%s'\n", family_opt.c_str());
run("dffinit -highlow -ff dffeas q power_up");
run("clean -purge");
}