aboutsummaryrefslogtreecommitdiffstats
path: root/techlibs/xilinx/cells_xtra.sh
diff options
context:
space:
mode:
authorEddie Hung <eddieh@ece.ubc.ca>2019-02-28 13:56:45 -0800
committerEddie Hung <eddieh@ece.ubc.ca>2019-02-28 13:56:45 -0800
commit1da090966263318c46dd1d91d2f1f4d11238c2c1 (patch)
tree2642889e995a7ebbc0a447e1b7e1b40371edbdf1 /techlibs/xilinx/cells_xtra.sh
parent73ddab6960a02aef0c5f9ccee8cee2e666778c06 (diff)
downloadyosys-1da090966263318c46dd1d91d2f1f4d11238c2c1.tar.gz
yosys-1da090966263318c46dd1d91d2f1f4d11238c2c1.tar.bz2
yosys-1da090966263318c46dd1d91d2f1f4d11238c2c1.zip
Remove SRL16/32 from cells_xtra
Diffstat (limited to 'techlibs/xilinx/cells_xtra.sh')
-rw-r--r--techlibs/xilinx/cells_xtra.sh4
1 files changed, 2 insertions, 2 deletions
diff --git a/techlibs/xilinx/cells_xtra.sh b/techlibs/xilinx/cells_xtra.sh
index 0480410f5..8cc90d1f2 100644
--- a/techlibs/xilinx/cells_xtra.sh
+++ b/techlibs/xilinx/cells_xtra.sh
@@ -134,8 +134,8 @@ function xtract_cell_decl()
xtract_cell_decl ROM256X1
xtract_cell_decl ROM32X1
xtract_cell_decl ROM64X1
- xtract_cell_decl SRL16E
- xtract_cell_decl SRLC32E
+ #xtract_cell_decl SRL16E
+ #xtract_cell_decl SRLC32E
xtract_cell_decl STARTUPE2
xtract_cell_decl USR_ACCESSE2
xtract_cell_decl XADC