aboutsummaryrefslogtreecommitdiffstats
path: root/techlibs/machxo2/cells_map.v
diff options
context:
space:
mode:
authorWilliam D. Jones <thor0505@comcast.net>2020-11-20 18:53:09 -0500
committerMarcelina Koƛcielnicka <mwk@0x04.net>2021-02-23 17:39:58 +0100
commit427fed23eec2f09eb93bf08a5ac1a4cd41d0a2c2 (patch)
tree17aef092bc13e5b10c8ba4858ed514d54742a4d4 /techlibs/machxo2/cells_map.v
parent19b043344c67a0ce5a8a14ef2960f366980c9873 (diff)
downloadyosys-427fed23eec2f09eb93bf08a5ac1a4cd41d0a2c2.tar.gz
yosys-427fed23eec2f09eb93bf08a5ac1a4cd41d0a2c2.tar.bz2
yosys-427fed23eec2f09eb93bf08a5ac1a4cd41d0a2c2.zip
machxo2: Improve LUT4 techmap. Use same output port name for LUT4 as Lattice.
Diffstat (limited to 'techlibs/machxo2/cells_map.v')
-rw-r--r--techlibs/machxo2/cells_map.v4
1 files changed, 2 insertions, 2 deletions
diff --git a/techlibs/machxo2/cells_map.v b/techlibs/machxo2/cells_map.v
index 054b678b3..924df6f25 100644
--- a/techlibs/machxo2/cells_map.v
+++ b/techlibs/machxo2/cells_map.v
@@ -17,11 +17,11 @@ module \$lut (A, Y);
end else if(WIDTH == 4) begin
assign I = {A[3], A[2], A[1], A[0]};
end else begin
- INVALID_LUT_WIDTH error();
+ wire _TECHMAP_FAIL_ = 1;
end
endgenerate
- LUT4 #(.INIT({rep{LUT}})) _TECHMAP_REPLACE_ (.A(I[0]), .B(I[1]), .C(I[2]), .D(I[3]), .F(Y));
+ LUT4 #(.INIT({rep{LUT}})) _TECHMAP_REPLACE_ (.A(I[0]), .B(I[1]), .C(I[2]), .D(I[3]), .Z(Y));
endmodule
module \$_DFF_P_ (input D, C, output Q); FACADE_FF #(.CEMUX("1"), .CLKMUX("CLK"), .LSRMUX("LSR"), .REGSET("RESET")) _TECHMAP_REPLACE_ (.CLK(C), .LSR(1'b0), .DI(D), .Q(Q)); endmodule