aboutsummaryrefslogtreecommitdiffstats
path: root/techlibs/ecp5/cells_sim.v
diff options
context:
space:
mode:
authorMiodrag Milanovic <mmicko@gmail.com>2019-03-01 19:25:23 +0100
committerMiodrag Milanovic <mmicko@gmail.com>2019-03-01 19:25:23 +0100
commitca2b3feed82f923f06d0cf57787818f0f6793157 (patch)
treeeec885cceb81e9cb57d62ad5b8333f0b6f4f56b6 /techlibs/ecp5/cells_sim.v
parent60e3c38054f10251021fa2f504ad2424da33aa1d (diff)
downloadyosys-ca2b3feed82f923f06d0cf57787818f0f6793157.tar.gz
yosys-ca2b3feed82f923f06d0cf57787818f0f6793157.tar.bz2
yosys-ca2b3feed82f923f06d0cf57787818f0f6793157.zip
Fix ECP5 cells_sim for iverilog
Diffstat (limited to 'techlibs/ecp5/cells_sim.v')
-rw-r--r--techlibs/ecp5/cells_sim.v5
1 files changed, 3 insertions, 2 deletions
diff --git a/techlibs/ecp5/cells_sim.v b/techlibs/ecp5/cells_sim.v
index 8320ee70a..1e4002ee0 100644
--- a/techlibs/ecp5/cells_sim.v
+++ b/techlibs/ecp5/cells_sim.v
@@ -223,11 +223,12 @@ module TRELLIS_FF(input CLK, LSR, CE, DI, M, output reg Q);
wire muxlsr = (LSRMUX == "INV") ? ~LSR : LSR;
wire muxclk = (CLKMUX == "INV") ? ~CLK : CLK;
+ wire srval;
generate
if (LSRMODE == "PRLD")
- wire srval = M;
+ assign srval = M;
else
- localparam srval = (REGSET == "SET") ? 1'b1 : 1'b0;
+ assign srval = (REGSET == "SET") ? 1'b1 : 1'b0;
endgenerate
initial Q = srval;