aboutsummaryrefslogtreecommitdiffstats
path: root/techlibs/ecp5/cells_sim.v
diff options
context:
space:
mode:
authorEddie Hung <eddie@fpgeh.com>2019-09-02 12:13:44 -0700
committerEddie Hung <eddie@fpgeh.com>2019-09-02 12:13:44 -0700
commit2fa3857963376859628473123e4a36282ac14c8d (patch)
treee8517c0f7fed6c06ae6c716568282ffd18bea525 /techlibs/ecp5/cells_sim.v
parent4290548de35beba766bd7e0684e19de83a0cb2fa (diff)
parent7e8f7f4c59c96897159d32771d0c7179c5474281 (diff)
downloadyosys-2fa3857963376859628473123e4a36282ac14c8d.tar.gz
yosys-2fa3857963376859628473123e4a36282ac14c8d.tar.bz2
yosys-2fa3857963376859628473123e4a36282ac14c8d.zip
Merge remote-tracking branch 'origin/master' into xaig_arrival
Diffstat (limited to 'techlibs/ecp5/cells_sim.v')
-rw-r--r--techlibs/ecp5/cells_sim.v13
1 files changed, 7 insertions, 6 deletions
diff --git a/techlibs/ecp5/cells_sim.v b/techlibs/ecp5/cells_sim.v
index f01b9a9e9..db77dc127 100644
--- a/techlibs/ecp5/cells_sim.v
+++ b/techlibs/ecp5/cells_sim.v
@@ -226,14 +226,15 @@ module TRELLIS_FF(input CLK, LSR, CE, DI, M, output reg Q);
parameter REGSET = "RESET";
parameter [127:0] LSRMODE = "LSR";
- reg muxce;
- always @(*)
+ wire muxce;
+ generate
case (CEMUX)
- "1": muxce = 1'b1;
- "0": muxce = 1'b0;
- "INV": muxce = ~CE;
- default: muxce = CE;
+ "1": assign muxce = 1'b1;
+ "0": assign muxce = 1'b0;
+ "INV": assign muxce = ~CE;
+ default: assign muxce = CE;
endcase
+ endgenerate
wire muxlsr = (LSRMUX == "INV") ? ~LSR : LSR;
wire muxclk = (CLKMUX == "INV") ? ~CLK : CLK;