aboutsummaryrefslogtreecommitdiffstats
path: root/examples
diff options
context:
space:
mode:
authorPepijn de Vos <pepijndevos@gmail.com>2019-09-04 15:35:33 +0200
committerPepijn de Vos <pepijndevos@gmail.com>2019-09-04 15:35:33 +0200
commitd65a47c86d4ccc89db419638896fefd3b2324588 (patch)
tree7a46d4d98e06a91cefb32d719024ad416aa115c7 /examples
parent06062090dacf868b2a4eda32152c188a1b8be089 (diff)
downloadyosys-d65a47c86d4ccc89db419638896fefd3b2324588.tar.gz
yosys-d65a47c86d4ccc89db419638896fefd3b2324588.tar.bz2
yosys-d65a47c86d4ccc89db419638896fefd3b2324588.zip
fix tcl script
Diffstat (limited to 'examples')
-rw-r--r--examples/gowin/run.tcl3
1 files changed, 1 insertions, 2 deletions
diff --git a/examples/gowin/run.tcl b/examples/gowin/run.tcl
index 7bb5648e5..39da11cee 100644
--- a/examples/gowin/run.tcl
+++ b/examples/gowin/run.tcl
@@ -4,7 +4,6 @@ add_file -cst demo.cst
add_file -sdc demo.sdc
add_file -vm demo_syn.v
add_file -cfg device.cfg
-add_setting -pnr pnr.cfg
set_option -device GW1NR-9-QFN88-6
set_option -pn GW1NR-LV9QN88C6/I5
-run_pnr
+run_pnr -opt pnr.cfg