aboutsummaryrefslogtreecommitdiffstats
path: root/examples
diff options
context:
space:
mode:
authorPepijn de Vos <pepijndevos@gmail.com>2019-09-04 14:47:59 +0200
committerPepijn de Vos <pepijndevos@gmail.com>2019-09-04 14:47:59 +0200
commit06062090dacf868b2a4eda32152c188a1b8be089 (patch)
treeed8dff862f11c9a1c57a35cea3fce8c85131e82f /examples
parenta6d81a8d146ab499e9b61e1ef8ab786a208fdcba (diff)
downloadyosys-06062090dacf868b2a4eda32152c188a1b8be089.tar.gz
yosys-06062090dacf868b2a4eda32152c188a1b8be089.tar.bz2
yosys-06062090dacf868b2a4eda32152c188a1b8be089.zip
add broken TCL run script
Diffstat (limited to 'examples')
-rw-r--r--examples/gowin/pnr.cfg8
-rw-r--r--examples/gowin/run.tcl10
2 files changed, 18 insertions, 0 deletions
diff --git a/examples/gowin/pnr.cfg b/examples/gowin/pnr.cfg
new file mode 100644
index 000000000..a1b43cc3b
--- /dev/null
+++ b/examples/gowin/pnr.cfg
@@ -0,0 +1,8 @@
+-sdf
+-oc
+-ibs
+-posp
+-o
+-warning_all
+-tt
+-timing
diff --git a/examples/gowin/run.tcl b/examples/gowin/run.tcl
new file mode 100644
index 000000000..7bb5648e5
--- /dev/null
+++ b/examples/gowin/run.tcl
@@ -0,0 +1,10 @@
+# gw_sh run.tcl
+exec yosys -p "synth_gowin -top demo -vout demo_syn.v" demo.v
+add_file -cst demo.cst
+add_file -sdc demo.sdc
+add_file -vm demo_syn.v
+add_file -cfg device.cfg
+add_setting -pnr pnr.cfg
+set_option -device GW1NR-9-QFN88-6
+set_option -pn GW1NR-LV9QN88C6/I5
+run_pnr