aboutsummaryrefslogtreecommitdiffstats
path: root/CHANGELOG
diff options
context:
space:
mode:
authorEddie Hung <eddie@fpgeh.com>2019-12-18 12:09:11 -0800
committerEddie Hung <eddie@fpgeh.com>2019-12-18 12:09:11 -0800
commitf52c6efd9da161e625538f9e8c23875efebda60f (patch)
tree3cae19cf40999e28a15cb6a4db0e25aa0658d3b2 /CHANGELOG
parentd0afe4e10d474e9254a6d5ebc7fbeeb8e2e0149a (diff)
downloadyosys-f52c6efd9da161e625538f9e8c23875efebda60f.tar.gz
yosys-f52c6efd9da161e625538f9e8c23875efebda60f.tar.bz2
yosys-f52c6efd9da161e625538f9e8c23875efebda60f.zip
Add "scratchpad" to CHANGELOG
Diffstat (limited to 'CHANGELOG')
-rw-r--r--CHANGELOG1
1 files changed, 1 insertions, 0 deletions
diff --git a/CHANGELOG b/CHANGELOG
index 9b87af8f0..01ae17c2b 100644
--- a/CHANGELOG
+++ b/CHANGELOG
@@ -56,6 +56,7 @@ Yosys 0.9 .. Yosys 0.9-dev
- Added checking of SystemVerilog always block types (always_comb,
always_latch and always_ff)
- Added "xilinx_dffopt" pass
+ - Added "scratchpad" pass
Yosys 0.8 .. Yosys 0.9
----------------------