aboutsummaryrefslogtreecommitdiffstats
diff options
context:
space:
mode:
authorEddie Hung <eddie@fpgeh.com>2019-07-08 19:15:37 -0700
committerEddie Hung <eddie@fpgeh.com>2019-07-08 19:15:37 -0700
commitfccabd09436aae780875fae2d833f58549f38418 (patch)
tree625f1d187016f889b407049f4f30d5f5730feba9
parent37b58f43242086de13fb2966d11b71efa29e7bfd (diff)
downloadyosys-fccabd09436aae780875fae2d833f58549f38418.tar.gz
yosys-fccabd09436aae780875fae2d833f58549f38418.tar.bz2
yosys-fccabd09436aae780875fae2d833f58549f38418.zip
Add synth -keepdc to CHANGELOG
-rw-r--r--CHANGELOG1
1 files changed, 1 insertions, 0 deletions
diff --git a/CHANGELOG b/CHANGELOG
index ae7d28236..646d63a63 100644
--- a/CHANGELOG
+++ b/CHANGELOG
@@ -12,6 +12,7 @@ Yosys 0.9 .. Yosys 0.9-dev
- Added "synth_xilinx -abc9" (experimental)
- Added "synth_ice40 -abc9" (experimental)
- Added "synth -abc9" (experimental)
+ - Added "synth -keepdc"
- Added "script -scriptwire