aboutsummaryrefslogtreecommitdiffstats
diff options
context:
space:
mode:
authorMarcus Comstedt <marcus@mc.pp.se>2020-03-14 11:41:09 +0100
committerMarcus Comstedt <marcus@mc.pp.se>2020-03-14 11:41:09 +0100
commitdd562f29e7ea248a64fce50e61998d0c0f73fe7e (patch)
treec64b5d02f5933ff3ca07e8e5da2cf88d52693682
parent5e94bf029179257cb3539cc5096113a139e37ff5 (diff)
downloadyosys-dd562f29e7ea248a64fce50e61998d0c0f73fe7e.tar.gz
yosys-dd562f29e7ea248a64fce50e61998d0c0f73fe7e.tar.bz2
yosys-dd562f29e7ea248a64fce50e61998d0c0f73fe7e.zip
Add regression tests for new handling of comments in constants
-rw-r--r--tests/various/bug1745.ys8
-rw-r--r--tests/various/constcomment.ys16
2 files changed, 24 insertions, 0 deletions
diff --git a/tests/various/bug1745.ys b/tests/various/bug1745.ys
new file mode 100644
index 000000000..2e5b8c2d4
--- /dev/null
+++ b/tests/various/bug1745.ys
@@ -0,0 +1,8 @@
+logger -expect error "syntax error, unexpected TOK_CONSTVAL" 1
+read_verilog <<EOT
+module inverter(input a, output y);
+
+ assign y = (a == 1'b0? 1'b1 : 1'b0);
+
+endmodule // inverter
+EOT
diff --git a/tests/various/constcomment.ys b/tests/various/constcomment.ys
new file mode 100644
index 000000000..f4f2e75d8
--- /dev/null
+++ b/tests/various/constcomment.ys
@@ -0,0 +1,16 @@
+read_verilog <<EOT
+module top1;
+ localparam a = 8 /*foo*/ 'h ab;
+ localparam b = 8 'h /*foo*/ cd;
+ generate
+ if (a != 8'b10101011) $error("a incorrect!");
+ if (b != 8'b11001101) $error("b incorrect!");
+ endgenerate
+endmodule
+EOT
+logger -expect error "syntax error, unexpected TOK_BASE" 1
+read_verilog <<EOT
+module top2;
+ localparam a = 12'h4 /*foo*/'b0;
+endmodule
+EOT