aboutsummaryrefslogtreecommitdiffstats
diff options
context:
space:
mode:
authorEddie Hung <eddie@fpgeh.com>2020-01-23 19:55:11 -0800
committerEddie Hung <eddie@fpgeh.com>2020-01-23 19:55:11 -0800
commitdca1c806eca0219fb609acfd111fbf9073c6908f (patch)
tree785b2647c1e53dee0af1687cf03160aee7e04bdf
parent245873d42d7975b6c303c2d04b75f3cafc6c5697 (diff)
downloadyosys-dca1c806eca0219fb609acfd111fbf9073c6908f.tar.gz
yosys-dca1c806eca0219fb609acfd111fbf9073c6908f.tar.bz2
yosys-dca1c806eca0219fb609acfd111fbf9073c6908f.zip
simple_abc9 tests to discard whitebox before write for sim
-rw-r--r--tests/simple_abc9/abc9.v2
-rwxr-xr-xtests/simple_abc9/run-test.sh3
2 files changed, 3 insertions, 2 deletions
diff --git a/tests/simple_abc9/abc9.v b/tests/simple_abc9/abc9.v
index 52ccb3e1d..e5837d480 100644
--- a/tests/simple_abc9/abc9.v
+++ b/tests/simple_abc9/abc9.v
@@ -213,7 +213,7 @@ module arbiter (clk, rst, request, acknowledge, grant, grant_valid, grant_encode
input rst;
endmodule
-(* abc9_box_id=1 *)
+(* abc9_box_id=1, whitebox *)
module MUXF8(input I0, I1, S, output O);
endmodule
diff --git a/tests/simple_abc9/run-test.sh b/tests/simple_abc9/run-test.sh
index bc921daa9..32d7a80ca 100755
--- a/tests/simple_abc9/run-test.sh
+++ b/tests/simple_abc9/run-test.sh
@@ -28,4 +28,5 @@ exec ${MAKE:-make} -f ../tools/autotest.mk $seed *.v *.sv EXTRA_FLAGS="-n 300 -p
abc9 -lut 4 -box ../abc.box; \
clean; \
check -assert; \
- select -assert-none t:${DOLLAR}_NOT_ t:${DOLLAR}_AND_ %%'"
+ select -assert-none t:${DOLLAR}_NOT_ t:${DOLLAR}_AND_ %%; \
+ setattr -mod -unset whitebox'"