aboutsummaryrefslogtreecommitdiffstats
diff options
context:
space:
mode:
authorBogdan Vukobratovic <bogdan.vukobratovic@gmail.com>2019-08-03 12:35:46 +0200
committerBogdan Vukobratovic <bogdan.vukobratovic@gmail.com>2019-08-03 12:35:46 +0200
commitd8be5ce6ba11ec78d0f7925d488fad09a3eaba2c (patch)
tree109654699ec1154cc1cec792e9abaac4f582e7ff
parent280c4e7794543e99244aafffc62a2dd4454bcb06 (diff)
downloadyosys-d8be5ce6ba11ec78d0f7925d488fad09a3eaba2c.tar.gz
yosys-d8be5ce6ba11ec78d0f7925d488fad09a3eaba2c.tar.bz2
yosys-d8be5ce6ba11ec78d0f7925d488fad09a3eaba2c.zip
Tabs to spaces in opt_share examples
-rw-r--r--tests/opt/opt_share_add_sub.v12
-rw-r--r--tests/opt/opt_share_cat.v20
-rw-r--r--tests/opt/opt_share_cat_multiuser.v34
-rw-r--r--tests/opt/opt_share_diff_port_widths.v32
-rw-r--r--tests/opt/opt_share_extend.v28
-rw-r--r--tests/opt/opt_share_large_pmux_cat.v34
-rw-r--r--tests/opt/opt_share_large_pmux_cat_multipart.v40
-rw-r--r--tests/opt/opt_share_large_pmux_multipart.v38
-rw-r--r--tests/opt/opt_share_large_pmux_part.v34
-rw-r--r--tests/opt/opt_share_mux_tree.v28
10 files changed, 150 insertions, 150 deletions
diff --git a/tests/opt/opt_share_add_sub.v b/tests/opt/opt_share_add_sub.v
index 1c2665cf0..d918f27cc 100644
--- a/tests/opt/opt_share_add_sub.v
+++ b/tests/opt/opt_share_add_sub.v
@@ -1,10 +1,10 @@
module opt_share_test(
- input [15:0] a,
- input [15:0] b,
- input sel,
- output [15:0] res,
- );
+ input [15:0] a,
+ input [15:0] b,
+ input sel,
+ output [15:0] res,
+ );
- assign res = {sel ? a + b : a - b};
+ assign res = {sel ? a + b : a - b};
endmodule
diff --git a/tests/opt/opt_share_cat.v b/tests/opt/opt_share_cat.v
index 7b6f626b9..7fb97fef5 100644
--- a/tests/opt/opt_share_cat.v
+++ b/tests/opt/opt_share_cat.v
@@ -1,15 +1,15 @@
module opt_share_test(
- input [15:0] a,
- input [15:0] b,
- input [15:0] c,
- input [15:0] d,
- input sel,
- output [63:0] res,
- );
+ input [15:0] a,
+ input [15:0] b,
+ input [15:0] c,
+ input [15:0] d,
+ input sel,
+ output [63:0] res,
+ );
- reg [31: 0] cat1 = {a+b, c+d};
- reg [31: 0] cat2 = {a-b, c-d};
+ reg [31: 0] cat1 = {a+b, c+d};
+ reg [31: 0] cat2 = {a-b, c-d};
- assign res = {b, sel ? cat1 : cat2, a};
+ assign res = {b, sel ? cat1 : cat2, a};
endmodule
diff --git a/tests/opt/opt_share_cat_multiuser.v b/tests/opt/opt_share_cat_multiuser.v
index f77f912e9..b250689d9 100644
--- a/tests/opt/opt_share_cat_multiuser.v
+++ b/tests/opt/opt_share_cat_multiuser.v
@@ -1,22 +1,22 @@
module opt_share_test(
- input [15:0] a,
- input [15:0] b,
- input [15:0] c,
- input [15:0] d,
- input sel,
- output reg [47:0] res,
- );
+ input [15:0] a,
+ input [15:0] b,
+ input [15:0] c,
+ input [15:0] d,
+ input sel,
+ output reg [47:0] res,
+ );
- wire [15:0] add_res = a+b;
- wire [15:0] sub_res = a-b;
- wire [31: 0] cat1 = {add_res, c+d};
- wire [31: 0] cat2 = {sub_res, c-d};
+ wire [15:0] add_res = a+b;
+ wire [15:0] sub_res = a-b;
+ wire [31: 0] cat1 = {add_res, c+d};
+ wire [31: 0] cat2 = {sub_res, c-d};
- always @* begin
- case(sel)
- 0: res = {cat1, add_res};
- 1: res = {cat2, add_res};
- endcase
- end
+ always @* begin
+ case(sel)
+ 0: res = {cat1, add_res};
+ 1: res = {cat2, add_res};
+ endcase
+ end
endmodule
diff --git a/tests/opt/opt_share_diff_port_widths.v b/tests/opt/opt_share_diff_port_widths.v
index e57ab7a83..1a37c80a6 100644
--- a/tests/opt/opt_share_diff_port_widths.v
+++ b/tests/opt/opt_share_diff_port_widths.v
@@ -1,21 +1,21 @@
module opt_share_test(
- input [15:0] a,
- input [15:0] b,
- input [15:0] c,
- input [1:0] sel,
- output reg [15:0] res
- );
+ input [15:0] a,
+ input [15:0] b,
+ input [15:0] c,
+ input [1:0] sel,
+ output reg [15:0] res
+ );
- wire [15:0] add0_res = a+b;
- wire [15:0] add1_res = a+c;
+ wire [15:0] add0_res = a+b;
+ wire [15:0] add1_res = a+c;
- always @* begin
- case(sel)
- 0: res = add0_res[10:0];
- 1: res = add1_res[10:0];
- 2: res = a - b;
- default: res = 32'bx;
- endcase
- end
+ always @* begin
+ case(sel)
+ 0: res = add0_res[10:0];
+ 1: res = add1_res[10:0];
+ 2: res = a - b;
+ default: res = 32'bx;
+ endcase
+ end
endmodule
diff --git a/tests/opt/opt_share_extend.v b/tests/opt/opt_share_extend.v
index 60ce1a2f3..d39f19069 100644
--- a/tests/opt/opt_share_extend.v
+++ b/tests/opt/opt_share_extend.v
@@ -1,18 +1,18 @@
module opt_share_test(
- input signed [7:0] a,
- input signed [10:0] b,
- input signed [15:0] c,
- input [1:0] sel,
- output reg signed [15:0] res
- );
+ input signed [7:0] a,
+ input signed [10:0] b,
+ input signed [15:0] c,
+ input [1:0] sel,
+ output reg signed [15:0] res
+ );
- always @* begin
- case(sel)
- 0: res = a + b;
- 1: res = a - b;
- 2: res = a + c;
- default: res = 16'bx;
- endcase
- end
+ always @* begin
+ case(sel)
+ 0: res = a + b;
+ 1: res = a - b;
+ 2: res = a + c;
+ default: res = 16'bx;
+ endcase
+ end
endmodule
diff --git a/tests/opt/opt_share_large_pmux_cat.v b/tests/opt/opt_share_large_pmux_cat.v
index 0667e6080..416ba3766 100644
--- a/tests/opt/opt_share_large_pmux_cat.v
+++ b/tests/opt/opt_share_large_pmux_cat.v
@@ -1,21 +1,21 @@
module opt_share_test(
- input [15:0] a,
- input [15:0] b,
- input [15:0] c,
- input [2:0] sel,
- output reg [31:0] res
- );
+ input [15:0] a,
+ input [15:0] b,
+ input [15:0] c,
+ input [2:0] sel,
+ output reg [31:0] res
+ );
- always @* begin
- case(sel)
- 0: res = {a + b, a};
- 1: res = {a - b, b};
- 2: res = {a + c, c};
- 3: res = {a - c, a};
- 4: res = {b, b};
- 5: res = {c, c};
- default: res = 32'bx;
- endcase
- end
+ always @* begin
+ case(sel)
+ 0: res = {a + b, a};
+ 1: res = {a - b, b};
+ 2: res = {a + c, c};
+ 3: res = {a - c, a};
+ 4: res = {b, b};
+ 5: res = {c, c};
+ default: res = 32'bx;
+ endcase
+ end
endmodule
diff --git a/tests/opt/opt_share_large_pmux_cat_multipart.v b/tests/opt/opt_share_large_pmux_cat_multipart.v
index f26505d3a..34d2bd9a8 100644
--- a/tests/opt/opt_share_large_pmux_cat_multipart.v
+++ b/tests/opt/opt_share_large_pmux_cat_multipart.v
@@ -1,25 +1,25 @@
module opt_share_test(
- input [15:0] a,
- input [15:0] b,
- input [15:0] c,
- input [15:0] d,
- input [2:0] sel,
- output reg [31:0] res
- );
+ input [15:0] a,
+ input [15:0] b,
+ input [15:0] c,
+ input [15:0] d,
+ input [2:0] sel,
+ output reg [31:0] res
+ );
- wire [15:0] add0_res = a+d;
+ wire [15:0] add0_res = a+d;
- always @* begin
- case(sel)
- 0: res = {add0_res, a};
- 1: res = {a - b, add0_res[7], 15'b0};
- 2: res = {b-a, b};
- 3: res = {d, b - c};
- 4: res = {d, b - a};
- 5: res = {c, d};
- 6: res = {a - c, b-d};
- default: res = 32'bx;
- endcase
- end
+ always @* begin
+ case(sel)
+ 0: res = {add0_res, a};
+ 1: res = {a - b, add0_res[7], 15'b0};
+ 2: res = {b-a, b};
+ 3: res = {d, b - c};
+ 4: res = {d, b - a};
+ 5: res = {c, d};
+ 6: res = {a - c, b-d};
+ default: res = 32'bx;
+ endcase
+ end
endmodule
diff --git a/tests/opt/opt_share_large_pmux_multipart.v b/tests/opt/opt_share_large_pmux_multipart.v
index 1c460292f..535adf96f 100644
--- a/tests/opt/opt_share_large_pmux_multipart.v
+++ b/tests/opt/opt_share_large_pmux_multipart.v
@@ -1,23 +1,23 @@
module opt_share_test(
- input [15:0] a,
- input [15:0] b,
- input [15:0] c,
- input [15:0] d,
- input [2:0] sel,
- output reg [15:0] res
- );
+ input [15:0] a,
+ input [15:0] b,
+ input [15:0] c,
+ input [15:0] d,
+ input [2:0] sel,
+ output reg [15:0] res
+ );
- always @* begin
- case(sel)
- 0: res = a + d;
- 1: res = a - b;
- 2: res = b;
- 3: res = b - c;
- 4: res = b - a;
- 5: res = c;
- 6: res = a - c;
- default: res = 16'bx;
- endcase
- end
+ always @* begin
+ case(sel)
+ 0: res = a + d;
+ 1: res = a - b;
+ 2: res = b;
+ 3: res = b - c;
+ 4: res = b - a;
+ 5: res = c;
+ 6: res = a - c;
+ default: res = 16'bx;
+ endcase
+ end
endmodule
diff --git a/tests/opt/opt_share_large_pmux_part.v b/tests/opt/opt_share_large_pmux_part.v
index f9dd17446..a9008fb5a 100644
--- a/tests/opt/opt_share_large_pmux_part.v
+++ b/tests/opt/opt_share_large_pmux_part.v
@@ -1,21 +1,21 @@
module opt_share_test(
- input [15:0] a,
- input [15:0] b,
- input [15:0] c,
- input [2:0] sel,
- output reg [15:0] res
- );
+ input [15:0] a,
+ input [15:0] b,
+ input [15:0] c,
+ input [2:0] sel,
+ output reg [15:0] res
+ );
- always @* begin
- case(sel)
- 0: res = a + b;
- 1: res = a - b;
- 2: res = a + c;
- 3: res = a - c;
- 4: res = b;
- 5: res = c;
- default: res = 16'bx;
- endcase
- end
+ always @* begin
+ case(sel)
+ 0: res = a + b;
+ 1: res = a - b;
+ 2: res = a + c;
+ 3: res = a - c;
+ 4: res = b;
+ 5: res = c;
+ default: res = 16'bx;
+ endcase
+ end
endmodule
diff --git a/tests/opt/opt_share_mux_tree.v b/tests/opt/opt_share_mux_tree.v
index 4a26afb46..cc5ae4eb9 100644
--- a/tests/opt/opt_share_mux_tree.v
+++ b/tests/opt/opt_share_mux_tree.v
@@ -1,18 +1,18 @@
module opt_share_test(
- input [15:0] a,
- input [15:0] b,
- input [15:0] c,
- input [1:0] sel,
- output reg [15:0] res
- );
+ input [15:0] a,
+ input [15:0] b,
+ input [15:0] c,
+ input [1:0] sel,
+ output reg [15:0] res
+ );
- always @* begin
- case(sel)
- 0: res = a + b;
- 1: res = a - b;
- 2: res = a + c;
- default: res = 16'bx;
- endcase
- end
+ always @* begin
+ case(sel)
+ 0: res = a + b;
+ 1: res = a - b;
+ 2: res = a + c;
+ default: res = 16'bx;
+ endcase
+ end
endmodule