aboutsummaryrefslogtreecommitdiffstats
diff options
context:
space:
mode:
authorMiodrag Milanovic <mmicko@gmail.com>2019-10-18 11:06:12 +0200
committerMiodrag Milanovic <mmicko@gmail.com>2019-10-18 11:06:12 +0200
commitc2ec7ca7031e2e9c655723fcdb3ce3cb83cc74b1 (patch)
tree79cce7951390a0068beeab26be5d310222059c51
parent3c41599ee1f62e4d77ba630fa1a245ef3fe236fa (diff)
downloadyosys-c2ec7ca7031e2e9c655723fcdb3ce3cb83cc74b1.tar.gz
yosys-c2ec7ca7031e2e9c655723fcdb3ce3cb83cc74b1.tar.bz2
yosys-c2ec7ca7031e2e9c655723fcdb3ce3cb83cc74b1.zip
Moved all tests in arch sub directory
-rw-r--r--Makefile10
-rw-r--r--tests/arch/anlogic/.gitignore (renamed from tests/anlogic/.gitignore)0
-rw-r--r--tests/arch/anlogic/add_sub.v (renamed from tests/anlogic/add_sub.v)0
-rw-r--r--tests/arch/anlogic/add_sub.ys (renamed from tests/anlogic/add_sub.ys)0
-rw-r--r--tests/arch/anlogic/counter.v (renamed from tests/anlogic/counter.v)0
-rw-r--r--tests/arch/anlogic/counter.ys (renamed from tests/anlogic/counter.ys)0
-rw-r--r--tests/arch/anlogic/dffs.v (renamed from tests/anlogic/dffs.v)0
-rw-r--r--tests/arch/anlogic/dffs.ys (renamed from tests/anlogic/dffs.ys)0
-rw-r--r--tests/arch/anlogic/fsm.v (renamed from tests/anlogic/fsm.v)0
-rw-r--r--tests/arch/anlogic/fsm.ys (renamed from tests/anlogic/fsm.ys)0
-rw-r--r--tests/arch/anlogic/latches.v (renamed from tests/anlogic/latches.v)0
-rw-r--r--tests/arch/anlogic/latches.ys (renamed from tests/anlogic/latches.ys)0
-rw-r--r--tests/arch/anlogic/memory.v (renamed from tests/anlogic/memory.v)0
-rw-r--r--tests/arch/anlogic/memory.ys (renamed from tests/anlogic/memory.ys)0
-rw-r--r--tests/arch/anlogic/mux.v (renamed from tests/anlogic/mux.v)0
-rw-r--r--tests/arch/anlogic/mux.ys (renamed from tests/anlogic/mux.ys)0
-rwxr-xr-xtests/arch/anlogic/run-test.sh (renamed from tests/anlogic/run-test.sh)0
-rw-r--r--tests/arch/anlogic/shifter.v (renamed from tests/anlogic/shifter.v)0
-rw-r--r--tests/arch/anlogic/shifter.ys (renamed from tests/anlogic/shifter.ys)0
-rw-r--r--tests/arch/anlogic/tribuf.v (renamed from tests/anlogic/tribuf.v)0
-rw-r--r--tests/arch/anlogic/tribuf.ys (renamed from tests/anlogic/tribuf.ys)0
-rw-r--r--tests/arch/ecp5/.gitignore (renamed from tests/ecp5/.gitignore)0
-rw-r--r--tests/arch/ecp5/add_sub.v (renamed from tests/ecp5/add_sub.v)0
-rw-r--r--tests/arch/ecp5/add_sub.ys (renamed from tests/ecp5/add_sub.ys)0
-rw-r--r--tests/arch/ecp5/adffs.v (renamed from tests/ecp5/adffs.v)0
-rw-r--r--tests/arch/ecp5/adffs.ys (renamed from tests/ecp5/adffs.ys)0
-rw-r--r--tests/arch/ecp5/counter.v (renamed from tests/ecp5/counter.v)0
-rw-r--r--tests/arch/ecp5/counter.ys (renamed from tests/ecp5/counter.ys)0
-rw-r--r--tests/arch/ecp5/dffs.v (renamed from tests/ecp5/dffs.v)0
-rw-r--r--tests/arch/ecp5/dffs.ys (renamed from tests/ecp5/dffs.ys)0
-rw-r--r--tests/arch/ecp5/dpram.v (renamed from tests/ecp5/dpram.v)0
-rw-r--r--tests/arch/ecp5/dpram.ys (renamed from tests/ecp5/dpram.ys)0
-rw-r--r--tests/arch/ecp5/fsm.v (renamed from tests/ecp5/fsm.v)0
-rw-r--r--tests/arch/ecp5/fsm.ys (renamed from tests/ecp5/fsm.ys)0
-rw-r--r--tests/arch/ecp5/latches.v (renamed from tests/ecp5/latches.v)0
-rw-r--r--tests/arch/ecp5/latches.ys (renamed from tests/ecp5/latches.ys)0
-rw-r--r--tests/arch/ecp5/logic.v (renamed from tests/ecp5/logic.v)0
-rw-r--r--tests/arch/ecp5/logic.ys (renamed from tests/ecp5/logic.ys)0
-rw-r--r--tests/arch/ecp5/macc.v (renamed from tests/ecp5/macc.v)0
-rw-r--r--tests/arch/ecp5/macc.ys (renamed from tests/ecp5/macc.ys)0
-rw-r--r--tests/arch/ecp5/memory.v (renamed from tests/ecp5/memory.v)0
-rw-r--r--tests/arch/ecp5/memory.ys (renamed from tests/ecp5/memory.ys)0
-rw-r--r--tests/arch/ecp5/mul.v (renamed from tests/ecp5/mul.v)0
-rw-r--r--tests/arch/ecp5/mul.ys (renamed from tests/ecp5/mul.ys)0
-rw-r--r--tests/arch/ecp5/mux.v (renamed from tests/ecp5/mux.v)0
-rw-r--r--tests/arch/ecp5/mux.ys (renamed from tests/ecp5/mux.ys)0
-rw-r--r--tests/arch/ecp5/rom.v (renamed from tests/ecp5/rom.v)0
-rw-r--r--tests/arch/ecp5/rom.ys (renamed from tests/ecp5/rom.ys)0
-rwxr-xr-xtests/arch/ecp5/run-test.sh (renamed from tests/ecp5/run-test.sh)0
-rw-r--r--tests/arch/ecp5/shifter.v (renamed from tests/ecp5/shifter.v)0
-rw-r--r--tests/arch/ecp5/shifter.ys (renamed from tests/ecp5/shifter.ys)0
-rw-r--r--tests/arch/ecp5/tribuf.v (renamed from tests/ecp5/tribuf.v)0
-rw-r--r--tests/arch/ecp5/tribuf.ys (renamed from tests/ecp5/tribuf.ys)0
-rw-r--r--tests/arch/efinix/.gitignore (renamed from tests/efinix/.gitignore)0
-rw-r--r--tests/arch/efinix/add_sub.v (renamed from tests/efinix/add_sub.v)0
-rw-r--r--tests/arch/efinix/add_sub.ys (renamed from tests/efinix/add_sub.ys)0
-rw-r--r--tests/arch/efinix/adffs.v (renamed from tests/efinix/adffs.v)0
-rw-r--r--tests/arch/efinix/adffs.ys (renamed from tests/efinix/adffs.ys)0
-rw-r--r--tests/arch/efinix/counter.v (renamed from tests/efinix/counter.v)0
-rw-r--r--tests/arch/efinix/counter.ys (renamed from tests/efinix/counter.ys)0
-rw-r--r--tests/arch/efinix/dffs.v (renamed from tests/efinix/dffs.v)0
-rw-r--r--tests/arch/efinix/dffs.ys (renamed from tests/efinix/dffs.ys)0
-rw-r--r--tests/arch/efinix/fsm.v (renamed from tests/efinix/fsm.v)0
-rw-r--r--tests/arch/efinix/fsm.ys (renamed from tests/efinix/fsm.ys)0
-rw-r--r--tests/arch/efinix/latches.v (renamed from tests/efinix/latches.v)0
-rw-r--r--tests/arch/efinix/latches.ys (renamed from tests/efinix/latches.ys)0
-rw-r--r--tests/arch/efinix/logic.v (renamed from tests/efinix/logic.v)0
-rw-r--r--tests/arch/efinix/logic.ys (renamed from tests/efinix/logic.ys)0
-rw-r--r--tests/arch/efinix/memory.v (renamed from tests/efinix/memory.v)0
-rw-r--r--tests/arch/efinix/memory.ys (renamed from tests/efinix/memory.ys)0
-rw-r--r--tests/arch/efinix/mux.v (renamed from tests/efinix/mux.v)0
-rw-r--r--tests/arch/efinix/mux.ys (renamed from tests/efinix/mux.ys)0
-rwxr-xr-xtests/arch/efinix/run-test.sh (renamed from tests/efinix/run-test.sh)0
-rw-r--r--tests/arch/efinix/shifter.v (renamed from tests/efinix/shifter.v)0
-rw-r--r--tests/arch/efinix/shifter.ys (renamed from tests/efinix/shifter.ys)0
-rw-r--r--tests/arch/efinix/tribuf.v (renamed from tests/efinix/tribuf.v)0
-rw-r--r--tests/arch/efinix/tribuf.ys (renamed from tests/efinix/tribuf.ys)0
-rw-r--r--tests/arch/ice40/.gitignore (renamed from tests/ice40/.gitignore)0
-rw-r--r--tests/arch/ice40/add_sub.v (renamed from tests/ice40/add_sub.v)0
-rw-r--r--tests/arch/ice40/add_sub.ys (renamed from tests/ice40/add_sub.ys)0
-rw-r--r--tests/arch/ice40/adffs.v (renamed from tests/ice40/adffs.v)0
-rw-r--r--tests/arch/ice40/adffs.ys (renamed from tests/ice40/adffs.ys)0
-rw-r--r--tests/arch/ice40/alu.v (renamed from tests/ice40/alu.v)0
-rw-r--r--tests/arch/ice40/alu.ys (renamed from tests/ice40/alu.ys)0
-rw-r--r--tests/arch/ice40/counter.v (renamed from tests/ice40/counter.v)0
-rw-r--r--tests/arch/ice40/counter.ys (renamed from tests/ice40/counter.ys)0
-rw-r--r--tests/arch/ice40/dffs.v (renamed from tests/ice40/dffs.v)0
-rw-r--r--tests/arch/ice40/dffs.ys (renamed from tests/ice40/dffs.ys)0
-rw-r--r--tests/arch/ice40/div_mod.v (renamed from tests/ice40/div_mod.v)0
-rw-r--r--tests/arch/ice40/div_mod.ys (renamed from tests/ice40/div_mod.ys)0
-rw-r--r--tests/arch/ice40/dpram.v (renamed from tests/ice40/dpram.v)0
-rw-r--r--tests/arch/ice40/dpram.ys (renamed from tests/ice40/dpram.ys)0
-rw-r--r--tests/arch/ice40/fsm.v (renamed from tests/ice40/fsm.v)0
-rw-r--r--tests/arch/ice40/fsm.ys (renamed from tests/ice40/fsm.ys)0
-rw-r--r--tests/arch/ice40/ice40_opt.ys (renamed from tests/ice40/ice40_opt.ys)0
-rw-r--r--tests/arch/ice40/latches.v (renamed from tests/ice40/latches.v)0
-rw-r--r--tests/arch/ice40/latches.ys (renamed from tests/ice40/latches.ys)0
-rw-r--r--tests/arch/ice40/logic.v (renamed from tests/ice40/logic.v)0
-rw-r--r--tests/arch/ice40/logic.ys (renamed from tests/ice40/logic.ys)0
-rw-r--r--tests/arch/ice40/macc.v (renamed from tests/ice40/macc.v)0
-rw-r--r--tests/arch/ice40/macc.ys (renamed from tests/ice40/macc.ys)0
-rw-r--r--tests/arch/ice40/memory.v (renamed from tests/ice40/memory.v)0
-rw-r--r--tests/arch/ice40/memory.ys (renamed from tests/ice40/memory.ys)0
-rw-r--r--tests/arch/ice40/mul.v (renamed from tests/ice40/mul.v)0
-rw-r--r--tests/arch/ice40/mul.ys (renamed from tests/ice40/mul.ys)0
-rw-r--r--tests/arch/ice40/mux.v (renamed from tests/ice40/mux.v)0
-rw-r--r--tests/arch/ice40/mux.ys (renamed from tests/ice40/mux.ys)0
-rw-r--r--tests/arch/ice40/rom.v (renamed from tests/ice40/rom.v)0
-rw-r--r--tests/arch/ice40/rom.ys (renamed from tests/ice40/rom.ys)0
-rwxr-xr-xtests/arch/ice40/run-test.sh (renamed from tests/ice40/run-test.sh)0
-rw-r--r--tests/arch/ice40/shifter.v (renamed from tests/ice40/shifter.v)0
-rw-r--r--tests/arch/ice40/shifter.ys (renamed from tests/ice40/shifter.ys)0
-rw-r--r--tests/arch/ice40/tribuf.v (renamed from tests/ice40/tribuf.v)0
-rw-r--r--tests/arch/ice40/tribuf.ys (renamed from tests/ice40/tribuf.ys)0
-rw-r--r--tests/arch/ice40/wrapcarry.ys (renamed from tests/ice40/wrapcarry.ys)0
-rw-r--r--tests/arch/xilinx/.gitignore (renamed from tests/xilinx/.gitignore)0
-rw-r--r--tests/arch/xilinx/add_sub.v (renamed from tests/xilinx/add_sub.v)0
-rw-r--r--tests/arch/xilinx/add_sub.ys (renamed from tests/xilinx/add_sub.ys)0
-rw-r--r--tests/arch/xilinx/adffs.v (renamed from tests/xilinx/adffs.v)0
-rw-r--r--tests/arch/xilinx/adffs.ys (renamed from tests/xilinx/adffs.ys)0
-rw-r--r--tests/arch/xilinx/counter.v (renamed from tests/xilinx/counter.v)0
-rw-r--r--tests/arch/xilinx/counter.ys (renamed from tests/xilinx/counter.ys)0
-rw-r--r--tests/arch/xilinx/dffs.v (renamed from tests/xilinx/dffs.v)0
-rw-r--r--tests/arch/xilinx/dffs.ys (renamed from tests/xilinx/dffs.ys)0
-rw-r--r--tests/arch/xilinx/dsp_simd.ys (renamed from tests/xilinx/dsp_simd.ys)0
-rw-r--r--tests/arch/xilinx/fsm.v (renamed from tests/xilinx/fsm.v)0
-rw-r--r--tests/arch/xilinx/fsm.ys (renamed from tests/xilinx/fsm.ys)0
-rw-r--r--tests/arch/xilinx/latches.v (renamed from tests/xilinx/latches.v)0
-rw-r--r--tests/arch/xilinx/latches.ys (renamed from tests/xilinx/latches.ys)0
-rw-r--r--tests/arch/xilinx/logic.v (renamed from tests/xilinx/logic.v)0
-rw-r--r--tests/arch/xilinx/logic.ys (renamed from tests/xilinx/logic.ys)0
-rw-r--r--tests/arch/xilinx/macc.sh (renamed from tests/xilinx/macc.sh)0
-rw-r--r--tests/arch/xilinx/macc.v (renamed from tests/xilinx/macc.v)0
-rw-r--r--tests/arch/xilinx/macc.ys (renamed from tests/xilinx/macc.ys)0
-rw-r--r--tests/arch/xilinx/macc_tb.v (renamed from tests/xilinx/macc_tb.v)0
-rw-r--r--tests/arch/xilinx/memory.v (renamed from tests/xilinx/memory.v)0
-rw-r--r--tests/arch/xilinx/memory.ys (renamed from tests/xilinx/memory.ys)0
-rw-r--r--tests/arch/xilinx/mul.v (renamed from tests/xilinx/mul.v)0
-rw-r--r--tests/arch/xilinx/mul.ys (renamed from tests/xilinx/mul.ys)0
-rw-r--r--tests/arch/xilinx/mul_unsigned.v (renamed from tests/xilinx/mul_unsigned.v)0
-rw-r--r--tests/arch/xilinx/mul_unsigned.ys (renamed from tests/xilinx/mul_unsigned.ys)0
-rw-r--r--tests/arch/xilinx/mux.v (renamed from tests/xilinx/mux.v)0
-rw-r--r--tests/arch/xilinx/mux.ys (renamed from tests/xilinx/mux.ys)0
-rw-r--r--tests/arch/xilinx/pmgen_xilinx_srl.ys (renamed from tests/xilinx/pmgen_xilinx_srl.ys)0
-rwxr-xr-xtests/arch/xilinx/run-test.sh (renamed from tests/xilinx/run-test.sh)0
-rw-r--r--tests/arch/xilinx/shifter.v (renamed from tests/xilinx/shifter.v)0
-rw-r--r--tests/arch/xilinx/shifter.ys (renamed from tests/xilinx/shifter.ys)0
-rw-r--r--tests/arch/xilinx/tribuf.v (renamed from tests/xilinx/tribuf.v)0
-rw-r--r--tests/arch/xilinx/tribuf.ys (renamed from tests/xilinx/tribuf.ys)0
-rw-r--r--tests/arch/xilinx/xilinx_srl.v (renamed from tests/xilinx/xilinx_srl.v)0
-rw-r--r--tests/arch/xilinx/xilinx_srl.ys (renamed from tests/xilinx/xilinx_srl.ys)0
151 files changed, 5 insertions, 5 deletions
diff --git a/Makefile b/Makefile
index 70d683c34..a24f19b6a 100644
--- a/Makefile
+++ b/Makefile
@@ -713,12 +713,12 @@ test: $(TARGETS) $(EXTRA_TARGETS)
+cd tests/opt && bash run-test.sh
+cd tests/aiger && bash run-test.sh $(ABCOPT)
+cd tests/arch && bash run-test.sh
- +cd tests/ice40 && bash run-test.sh $(SEEDOPT)
+ +cd tests/arch/ice40 && bash run-test.sh $(SEEDOPT)
+ +cd tests/arch/xilinx && bash run-test.sh $(SEEDOPT)
+ +cd tests/arch/ecp5 && bash run-test.sh $(SEEDOPT)
+ +cd tests/arch/efinix && bash run-test.sh $(SEEDOPT)
+ +cd tests/arch/anlogic && bash run-test.sh $(SEEDOPT)
+cd tests/rpc && bash run-test.sh
- +cd tests/efinix && bash run-test.sh $(SEEDOPT)
- +cd tests/anlogic && bash run-test.sh $(SEEDOPT)
- +cd tests/ecp5 && bash run-test.sh $(SEEDOPT)
- +cd tests/xilinx && bash run-test.sh $(SEEDOPT)
@echo ""
@echo " Passed \"make test\"."
@echo ""
diff --git a/tests/anlogic/.gitignore b/tests/arch/anlogic/.gitignore
index 9a71dca69..9a71dca69 100644
--- a/tests/anlogic/.gitignore
+++ b/tests/arch/anlogic/.gitignore
diff --git a/tests/anlogic/add_sub.v b/tests/arch/anlogic/add_sub.v
index 177c32e30..177c32e30 100644
--- a/tests/anlogic/add_sub.v
+++ b/tests/arch/anlogic/add_sub.v
diff --git a/tests/anlogic/add_sub.ys b/tests/arch/anlogic/add_sub.ys
index b8b67cc46..b8b67cc46 100644
--- a/tests/anlogic/add_sub.ys
+++ b/tests/arch/anlogic/add_sub.ys
diff --git a/tests/anlogic/counter.v b/tests/arch/anlogic/counter.v
index 52852f8ac..52852f8ac 100644
--- a/tests/anlogic/counter.v
+++ b/tests/arch/anlogic/counter.v
diff --git a/tests/anlogic/counter.ys b/tests/arch/anlogic/counter.ys
index 036fdba46..036fdba46 100644
--- a/tests/anlogic/counter.ys
+++ b/tests/arch/anlogic/counter.ys
diff --git a/tests/anlogic/dffs.v b/tests/arch/anlogic/dffs.v
index 3418787c9..3418787c9 100644
--- a/tests/anlogic/dffs.v
+++ b/tests/arch/anlogic/dffs.v
diff --git a/tests/anlogic/dffs.ys b/tests/arch/anlogic/dffs.ys
index 9cbe5fce7..9cbe5fce7 100644
--- a/tests/anlogic/dffs.ys
+++ b/tests/arch/anlogic/dffs.ys
diff --git a/tests/anlogic/fsm.v b/tests/arch/anlogic/fsm.v
index 368fbaace..368fbaace 100644
--- a/tests/anlogic/fsm.v
+++ b/tests/arch/anlogic/fsm.v
diff --git a/tests/anlogic/fsm.ys b/tests/arch/anlogic/fsm.ys
index 452ef9251..452ef9251 100644
--- a/tests/anlogic/fsm.ys
+++ b/tests/arch/anlogic/fsm.ys
diff --git a/tests/anlogic/latches.v b/tests/arch/anlogic/latches.v
index adb5d5319..adb5d5319 100644
--- a/tests/anlogic/latches.v
+++ b/tests/arch/anlogic/latches.v
diff --git a/tests/anlogic/latches.ys b/tests/arch/anlogic/latches.ys
index c00c7a25d..c00c7a25d 100644
--- a/tests/anlogic/latches.ys
+++ b/tests/arch/anlogic/latches.ys
diff --git a/tests/anlogic/memory.v b/tests/arch/anlogic/memory.v
index cb7753f7b..cb7753f7b 100644
--- a/tests/anlogic/memory.v
+++ b/tests/arch/anlogic/memory.v
diff --git a/tests/anlogic/memory.ys b/tests/arch/anlogic/memory.ys
index 8c0ce844e..8c0ce844e 100644
--- a/tests/anlogic/memory.ys
+++ b/tests/arch/anlogic/memory.ys
diff --git a/tests/anlogic/mux.v b/tests/arch/anlogic/mux.v
index 27bc0bf0b..27bc0bf0b 100644
--- a/tests/anlogic/mux.v
+++ b/tests/arch/anlogic/mux.v
diff --git a/tests/anlogic/mux.ys b/tests/arch/anlogic/mux.ys
index 64ed2a2bd..64ed2a2bd 100644
--- a/tests/anlogic/mux.ys
+++ b/tests/arch/anlogic/mux.ys
diff --git a/tests/anlogic/run-test.sh b/tests/arch/anlogic/run-test.sh
index 46716f9a0..46716f9a0 100755
--- a/tests/anlogic/run-test.sh
+++ b/tests/arch/anlogic/run-test.sh
diff --git a/tests/anlogic/shifter.v b/tests/arch/anlogic/shifter.v
index 04ae49d83..04ae49d83 100644
--- a/tests/anlogic/shifter.v
+++ b/tests/arch/anlogic/shifter.v
diff --git a/tests/anlogic/shifter.ys b/tests/arch/anlogic/shifter.ys
index 5eaed30a3..5eaed30a3 100644
--- a/tests/anlogic/shifter.ys
+++ b/tests/arch/anlogic/shifter.ys
diff --git a/tests/anlogic/tribuf.v b/tests/arch/anlogic/tribuf.v
index 90dd314e4..90dd314e4 100644
--- a/tests/anlogic/tribuf.v
+++ b/tests/arch/anlogic/tribuf.v
diff --git a/tests/anlogic/tribuf.ys b/tests/arch/anlogic/tribuf.ys
index 0eb1338ac..0eb1338ac 100644
--- a/tests/anlogic/tribuf.ys
+++ b/tests/arch/anlogic/tribuf.ys
diff --git a/tests/ecp5/.gitignore b/tests/arch/ecp5/.gitignore
index 1d329c933..1d329c933 100644
--- a/tests/ecp5/.gitignore
+++ b/tests/arch/ecp5/.gitignore
diff --git a/tests/ecp5/add_sub.v b/tests/arch/ecp5/add_sub.v
index 177c32e30..177c32e30 100644
--- a/tests/ecp5/add_sub.v
+++ b/tests/arch/ecp5/add_sub.v
diff --git a/tests/ecp5/add_sub.ys b/tests/arch/ecp5/add_sub.ys
index ee72d732f..ee72d732f 100644
--- a/tests/ecp5/add_sub.ys
+++ b/tests/arch/ecp5/add_sub.ys
diff --git a/tests/ecp5/adffs.v b/tests/arch/ecp5/adffs.v
index 223b52d21..223b52d21 100644
--- a/tests/ecp5/adffs.v
+++ b/tests/arch/ecp5/adffs.v
diff --git a/tests/ecp5/adffs.ys b/tests/arch/ecp5/adffs.ys
index c6780e565..c6780e565 100644
--- a/tests/ecp5/adffs.ys
+++ b/tests/arch/ecp5/adffs.ys
diff --git a/tests/ecp5/counter.v b/tests/arch/ecp5/counter.v
index 52852f8ac..52852f8ac 100644
--- a/tests/ecp5/counter.v
+++ b/tests/arch/ecp5/counter.v
diff --git a/tests/ecp5/counter.ys b/tests/arch/ecp5/counter.ys
index 8ef70778f..8ef70778f 100644
--- a/tests/ecp5/counter.ys
+++ b/tests/arch/ecp5/counter.ys
diff --git a/tests/ecp5/dffs.v b/tests/arch/ecp5/dffs.v
index 3418787c9..3418787c9 100644
--- a/tests/ecp5/dffs.v
+++ b/tests/arch/ecp5/dffs.v
diff --git a/tests/ecp5/dffs.ys b/tests/arch/ecp5/dffs.ys
index a4f45d2fb..a4f45d2fb 100644
--- a/tests/ecp5/dffs.ys
+++ b/tests/arch/ecp5/dffs.ys
diff --git a/tests/ecp5/dpram.v b/tests/arch/ecp5/dpram.v
index 3ea4c1f27..3ea4c1f27 100644
--- a/tests/ecp5/dpram.v
+++ b/tests/arch/ecp5/dpram.v
diff --git a/tests/ecp5/dpram.ys b/tests/arch/ecp5/dpram.ys
index 3bc6bc1d0..3bc6bc1d0 100644
--- a/tests/ecp5/dpram.ys
+++ b/tests/arch/ecp5/dpram.ys
diff --git a/tests/ecp5/fsm.v b/tests/arch/ecp5/fsm.v
index 368fbaace..368fbaace 100644
--- a/tests/ecp5/fsm.v
+++ b/tests/arch/ecp5/fsm.v
diff --git a/tests/ecp5/fsm.ys b/tests/arch/ecp5/fsm.ys
index ded91e5f7..ded91e5f7 100644
--- a/tests/ecp5/fsm.ys
+++ b/tests/arch/ecp5/fsm.ys
diff --git a/tests/ecp5/latches.v b/tests/arch/ecp5/latches.v
index adb5d5319..adb5d5319 100644
--- a/tests/ecp5/latches.v
+++ b/tests/arch/ecp5/latches.v
diff --git a/tests/ecp5/latches.ys b/tests/arch/ecp5/latches.ys
index fc15a6910..fc15a6910 100644
--- a/tests/ecp5/latches.ys
+++ b/tests/arch/ecp5/latches.ys
diff --git a/tests/ecp5/logic.v b/tests/arch/ecp5/logic.v
index e5343cae0..e5343cae0 100644
--- a/tests/ecp5/logic.v
+++ b/tests/arch/ecp5/logic.v
diff --git a/tests/ecp5/logic.ys b/tests/arch/ecp5/logic.ys
index 4f113a130..4f113a130 100644
--- a/tests/ecp5/logic.ys
+++ b/tests/arch/ecp5/logic.ys
diff --git a/tests/ecp5/macc.v b/tests/arch/ecp5/macc.v
index 63a3d3a74..63a3d3a74 100644
--- a/tests/ecp5/macc.v
+++ b/tests/arch/ecp5/macc.v
diff --git a/tests/ecp5/macc.ys b/tests/arch/ecp5/macc.ys
index 1863ea4d2..1863ea4d2 100644
--- a/tests/ecp5/macc.ys
+++ b/tests/arch/ecp5/macc.ys
diff --git a/tests/ecp5/memory.v b/tests/arch/ecp5/memory.v
index cb7753f7b..cb7753f7b 100644
--- a/tests/ecp5/memory.v
+++ b/tests/arch/ecp5/memory.v
diff --git a/tests/ecp5/memory.ys b/tests/arch/ecp5/memory.ys
index 9b475f122..9b475f122 100644
--- a/tests/ecp5/memory.ys
+++ b/tests/arch/ecp5/memory.ys
diff --git a/tests/ecp5/mul.v b/tests/arch/ecp5/mul.v
index d5b48b1d7..d5b48b1d7 100644
--- a/tests/ecp5/mul.v
+++ b/tests/arch/ecp5/mul.v
diff --git a/tests/ecp5/mul.ys b/tests/arch/ecp5/mul.ys
index 0a91f892e..0a91f892e 100644
--- a/tests/ecp5/mul.ys
+++ b/tests/arch/ecp5/mul.ys
diff --git a/tests/ecp5/mux.v b/tests/arch/ecp5/mux.v
index 782424a9b..782424a9b 100644
--- a/tests/ecp5/mux.v
+++ b/tests/arch/ecp5/mux.v
diff --git a/tests/ecp5/mux.ys b/tests/arch/ecp5/mux.ys
index 8cfbd541b..8cfbd541b 100644
--- a/tests/ecp5/mux.ys
+++ b/tests/arch/ecp5/mux.ys
diff --git a/tests/ecp5/rom.v b/tests/arch/ecp5/rom.v
index 0a0f41f37..0a0f41f37 100644
--- a/tests/ecp5/rom.v
+++ b/tests/arch/ecp5/rom.v
diff --git a/tests/ecp5/rom.ys b/tests/arch/ecp5/rom.ys
index 98645ae43..98645ae43 100644
--- a/tests/ecp5/rom.ys
+++ b/tests/arch/ecp5/rom.ys
diff --git a/tests/ecp5/run-test.sh b/tests/arch/ecp5/run-test.sh
index 46716f9a0..46716f9a0 100755
--- a/tests/ecp5/run-test.sh
+++ b/tests/arch/ecp5/run-test.sh
diff --git a/tests/ecp5/shifter.v b/tests/arch/ecp5/shifter.v
index 04ae49d83..04ae49d83 100644
--- a/tests/ecp5/shifter.v
+++ b/tests/arch/ecp5/shifter.v
diff --git a/tests/ecp5/shifter.ys b/tests/arch/ecp5/shifter.ys
index e1901e1a8..e1901e1a8 100644
--- a/tests/ecp5/shifter.ys
+++ b/tests/arch/ecp5/shifter.ys
diff --git a/tests/ecp5/tribuf.v b/tests/arch/ecp5/tribuf.v
index 90dd314e4..90dd314e4 100644
--- a/tests/ecp5/tribuf.v
+++ b/tests/arch/ecp5/tribuf.v
diff --git a/tests/ecp5/tribuf.ys b/tests/arch/ecp5/tribuf.ys
index a6e9c9598..a6e9c9598 100644
--- a/tests/ecp5/tribuf.ys
+++ b/tests/arch/ecp5/tribuf.ys
diff --git a/tests/efinix/.gitignore b/tests/arch/efinix/.gitignore
index b48f808a1..b48f808a1 100644
--- a/tests/efinix/.gitignore
+++ b/tests/arch/efinix/.gitignore
diff --git a/tests/efinix/add_sub.v b/tests/arch/efinix/add_sub.v
index 177c32e30..177c32e30 100644
--- a/tests/efinix/add_sub.v
+++ b/tests/arch/efinix/add_sub.v
diff --git a/tests/efinix/add_sub.ys b/tests/arch/efinix/add_sub.ys
index 8bd28c68e..8bd28c68e 100644
--- a/tests/efinix/add_sub.ys
+++ b/tests/arch/efinix/add_sub.ys
diff --git a/tests/efinix/adffs.v b/tests/arch/efinix/adffs.v
index 223b52d21..223b52d21 100644
--- a/tests/efinix/adffs.v
+++ b/tests/arch/efinix/adffs.v
diff --git a/tests/efinix/adffs.ys b/tests/arch/efinix/adffs.ys
index 1069c6c5c..1069c6c5c 100644
--- a/tests/efinix/adffs.ys
+++ b/tests/arch/efinix/adffs.ys
diff --git a/tests/efinix/counter.v b/tests/arch/efinix/counter.v
index 52852f8ac..52852f8ac 100644
--- a/tests/efinix/counter.v
+++ b/tests/arch/efinix/counter.v
diff --git a/tests/efinix/counter.ys b/tests/arch/efinix/counter.ys
index 82e61d39b..82e61d39b 100644
--- a/tests/efinix/counter.ys
+++ b/tests/arch/efinix/counter.ys
diff --git a/tests/efinix/dffs.v b/tests/arch/efinix/dffs.v
index 3418787c9..3418787c9 100644
--- a/tests/efinix/dffs.v
+++ b/tests/arch/efinix/dffs.v
diff --git a/tests/efinix/dffs.ys b/tests/arch/efinix/dffs.ys
index cdd288233..cdd288233 100644
--- a/tests/efinix/dffs.ys
+++ b/tests/arch/efinix/dffs.ys
diff --git a/tests/efinix/fsm.v b/tests/arch/efinix/fsm.v
index 368fbaace..368fbaace 100644
--- a/tests/efinix/fsm.v
+++ b/tests/arch/efinix/fsm.v
diff --git a/tests/efinix/fsm.ys b/tests/arch/efinix/fsm.ys
index 2ec75215d..2ec75215d 100644
--- a/tests/efinix/fsm.ys
+++ b/tests/arch/efinix/fsm.ys
diff --git a/tests/efinix/latches.v b/tests/arch/efinix/latches.v
index adb5d5319..adb5d5319 100644
--- a/tests/efinix/latches.v
+++ b/tests/arch/efinix/latches.v
diff --git a/tests/efinix/latches.ys b/tests/arch/efinix/latches.ys
index 899d024ce..899d024ce 100644
--- a/tests/efinix/latches.ys
+++ b/tests/arch/efinix/latches.ys
diff --git a/tests/efinix/logic.v b/tests/arch/efinix/logic.v
index e5343cae0..e5343cae0 100644
--- a/tests/efinix/logic.v
+++ b/tests/arch/efinix/logic.v
diff --git a/tests/efinix/logic.ys b/tests/arch/efinix/logic.ys
index fdedb337b..fdedb337b 100644
--- a/tests/efinix/logic.ys
+++ b/tests/arch/efinix/logic.ys
diff --git a/tests/efinix/memory.v b/tests/arch/efinix/memory.v
index 5634d6507..5634d6507 100644
--- a/tests/efinix/memory.v
+++ b/tests/arch/efinix/memory.v
diff --git a/tests/efinix/memory.ys b/tests/arch/efinix/memory.ys
index fe24b0a9b..fe24b0a9b 100644
--- a/tests/efinix/memory.ys
+++ b/tests/arch/efinix/memory.ys
diff --git a/tests/efinix/mux.v b/tests/arch/efinix/mux.v
index 27bc0bf0b..27bc0bf0b 100644
--- a/tests/efinix/mux.v
+++ b/tests/arch/efinix/mux.v
diff --git a/tests/efinix/mux.ys b/tests/arch/efinix/mux.ys
index 71a9681de..71a9681de 100644
--- a/tests/efinix/mux.ys
+++ b/tests/arch/efinix/mux.ys
diff --git a/tests/efinix/run-test.sh b/tests/arch/efinix/run-test.sh
index 46716f9a0..46716f9a0 100755
--- a/tests/efinix/run-test.sh
+++ b/tests/arch/efinix/run-test.sh
diff --git a/tests/efinix/shifter.v b/tests/arch/efinix/shifter.v
index ce2c81dd2..ce2c81dd2 100644
--- a/tests/efinix/shifter.v
+++ b/tests/arch/efinix/shifter.v
diff --git a/tests/efinix/shifter.ys b/tests/arch/efinix/shifter.ys
index 1a6b5565c..1a6b5565c 100644
--- a/tests/efinix/shifter.ys
+++ b/tests/arch/efinix/shifter.ys
diff --git a/tests/efinix/tribuf.v b/tests/arch/efinix/tribuf.v
index c64468253..c64468253 100644
--- a/tests/efinix/tribuf.v
+++ b/tests/arch/efinix/tribuf.v
diff --git a/tests/efinix/tribuf.ys b/tests/arch/efinix/tribuf.ys
index 2e2ab9e65..2e2ab9e65 100644
--- a/tests/efinix/tribuf.ys
+++ b/tests/arch/efinix/tribuf.ys
diff --git a/tests/ice40/.gitignore b/tests/arch/ice40/.gitignore
index 9a71dca69..9a71dca69 100644
--- a/tests/ice40/.gitignore
+++ b/tests/arch/ice40/.gitignore
diff --git a/tests/ice40/add_sub.v b/tests/arch/ice40/add_sub.v
index 177c32e30..177c32e30 100644
--- a/tests/ice40/add_sub.v
+++ b/tests/arch/ice40/add_sub.v
diff --git a/tests/ice40/add_sub.ys b/tests/arch/ice40/add_sub.ys
index 4a998d98d..4a998d98d 100644
--- a/tests/ice40/add_sub.ys
+++ b/tests/arch/ice40/add_sub.ys
diff --git a/tests/ice40/adffs.v b/tests/arch/ice40/adffs.v
index 09dc36001..09dc36001 100644
--- a/tests/ice40/adffs.v
+++ b/tests/arch/ice40/adffs.v
diff --git a/tests/ice40/adffs.ys b/tests/arch/ice40/adffs.ys
index 548060b66..548060b66 100644
--- a/tests/ice40/adffs.ys
+++ b/tests/arch/ice40/adffs.ys
diff --git a/tests/ice40/alu.v b/tests/arch/ice40/alu.v
index f82cc2e21..f82cc2e21 100644
--- a/tests/ice40/alu.v
+++ b/tests/arch/ice40/alu.v
diff --git a/tests/ice40/alu.ys b/tests/arch/ice40/alu.ys
index bd859efc4..bd859efc4 100644
--- a/tests/ice40/alu.ys
+++ b/tests/arch/ice40/alu.ys
diff --git a/tests/ice40/counter.v b/tests/arch/ice40/counter.v
index 52852f8ac..52852f8ac 100644
--- a/tests/ice40/counter.v
+++ b/tests/arch/ice40/counter.v
diff --git a/tests/ice40/counter.ys b/tests/arch/ice40/counter.ys
index c65c21622..c65c21622 100644
--- a/tests/ice40/counter.ys
+++ b/tests/arch/ice40/counter.ys
diff --git a/tests/ice40/dffs.v b/tests/arch/ice40/dffs.v
index d97840c43..d97840c43 100644
--- a/tests/ice40/dffs.v
+++ b/tests/arch/ice40/dffs.v
diff --git a/tests/ice40/dffs.ys b/tests/arch/ice40/dffs.ys
index ee7f884b1..ee7f884b1 100644
--- a/tests/ice40/dffs.ys
+++ b/tests/arch/ice40/dffs.ys
diff --git a/tests/ice40/div_mod.v b/tests/arch/ice40/div_mod.v
index 64a36707d..64a36707d 100644
--- a/tests/ice40/div_mod.v
+++ b/tests/arch/ice40/div_mod.v
diff --git a/tests/ice40/div_mod.ys b/tests/arch/ice40/div_mod.ys
index 821d6c301..821d6c301 100644
--- a/tests/ice40/div_mod.ys
+++ b/tests/arch/ice40/div_mod.ys
diff --git a/tests/ice40/dpram.v b/tests/arch/ice40/dpram.v
index 3ea4c1f27..3ea4c1f27 100644
--- a/tests/ice40/dpram.v
+++ b/tests/arch/ice40/dpram.v
diff --git a/tests/ice40/dpram.ys b/tests/arch/ice40/dpram.ys
index 4f6a253ea..4f6a253ea 100644
--- a/tests/ice40/dpram.ys
+++ b/tests/arch/ice40/dpram.ys
diff --git a/tests/ice40/fsm.v b/tests/arch/ice40/fsm.v
index 0605bd102..0605bd102 100644
--- a/tests/ice40/fsm.v
+++ b/tests/arch/ice40/fsm.v
diff --git a/tests/ice40/fsm.ys b/tests/arch/ice40/fsm.ys
index 4cc8629d6..4cc8629d6 100644
--- a/tests/ice40/fsm.ys
+++ b/tests/arch/ice40/fsm.ys
diff --git a/tests/ice40/ice40_opt.ys b/tests/arch/ice40/ice40_opt.ys
index b17c69c91..b17c69c91 100644
--- a/tests/ice40/ice40_opt.ys
+++ b/tests/arch/ice40/ice40_opt.ys
diff --git a/tests/ice40/latches.v b/tests/arch/ice40/latches.v
index 9dc43e4c2..9dc43e4c2 100644
--- a/tests/ice40/latches.v
+++ b/tests/arch/ice40/latches.v
diff --git a/tests/ice40/latches.ys b/tests/arch/ice40/latches.ys
index 708734e44..708734e44 100644
--- a/tests/ice40/latches.ys
+++ b/tests/arch/ice40/latches.ys
diff --git a/tests/ice40/logic.v b/tests/arch/ice40/logic.v
index e5343cae0..e5343cae0 100644
--- a/tests/ice40/logic.v
+++ b/tests/arch/ice40/logic.v
diff --git a/tests/ice40/logic.ys b/tests/arch/ice40/logic.ys
index fc5e5b1d8..fc5e5b1d8 100644
--- a/tests/ice40/logic.ys
+++ b/tests/arch/ice40/logic.ys
diff --git a/tests/ice40/macc.v b/tests/arch/ice40/macc.v
index 6f68e7500..6f68e7500 100644
--- a/tests/ice40/macc.v
+++ b/tests/arch/ice40/macc.v
diff --git a/tests/ice40/macc.ys b/tests/arch/ice40/macc.ys
index fd30e79c5..fd30e79c5 100644
--- a/tests/ice40/macc.ys
+++ b/tests/arch/ice40/macc.ys
diff --git a/tests/ice40/memory.v b/tests/arch/ice40/memory.v
index cb7753f7b..cb7753f7b 100644
--- a/tests/ice40/memory.v
+++ b/tests/arch/ice40/memory.v
diff --git a/tests/ice40/memory.ys b/tests/arch/ice40/memory.ys
index a66afbae6..a66afbae6 100644
--- a/tests/ice40/memory.ys
+++ b/tests/arch/ice40/memory.ys
diff --git a/tests/ice40/mul.v b/tests/arch/ice40/mul.v
index d5b48b1d7..d5b48b1d7 100644
--- a/tests/ice40/mul.v
+++ b/tests/arch/ice40/mul.v
diff --git a/tests/ice40/mul.ys b/tests/arch/ice40/mul.ys
index 8a0822a84..8a0822a84 100644
--- a/tests/ice40/mul.ys
+++ b/tests/arch/ice40/mul.ys
diff --git a/tests/ice40/mux.v b/tests/arch/ice40/mux.v
index 0814b733e..0814b733e 100644
--- a/tests/ice40/mux.v
+++ b/tests/arch/ice40/mux.v
diff --git a/tests/ice40/mux.ys b/tests/arch/ice40/mux.ys
index 182b49499..182b49499 100644
--- a/tests/ice40/mux.ys
+++ b/tests/arch/ice40/mux.ys
diff --git a/tests/ice40/rom.v b/tests/arch/ice40/rom.v
index 0a0f41f37..0a0f41f37 100644
--- a/tests/ice40/rom.v
+++ b/tests/arch/ice40/rom.v
diff --git a/tests/ice40/rom.ys b/tests/arch/ice40/rom.ys
index 41d214e2a..41d214e2a 100644
--- a/tests/ice40/rom.ys
+++ b/tests/arch/ice40/rom.ys
diff --git a/tests/ice40/run-test.sh b/tests/arch/ice40/run-test.sh
index 46716f9a0..46716f9a0 100755
--- a/tests/ice40/run-test.sh
+++ b/tests/arch/ice40/run-test.sh
diff --git a/tests/ice40/shifter.v b/tests/arch/ice40/shifter.v
index c55632552..c55632552 100644
--- a/tests/ice40/shifter.v
+++ b/tests/arch/ice40/shifter.v
diff --git a/tests/ice40/shifter.ys b/tests/arch/ice40/shifter.ys
index 47d95d298..47d95d298 100644
--- a/tests/ice40/shifter.ys
+++ b/tests/arch/ice40/shifter.ys
diff --git a/tests/ice40/tribuf.v b/tests/arch/ice40/tribuf.v
index 870a02584..870a02584 100644
--- a/tests/ice40/tribuf.v
+++ b/tests/arch/ice40/tribuf.v
diff --git a/tests/ice40/tribuf.ys b/tests/arch/ice40/tribuf.ys
index d1e1b3108..d1e1b3108 100644
--- a/tests/ice40/tribuf.ys
+++ b/tests/arch/ice40/tribuf.ys
diff --git a/tests/ice40/wrapcarry.ys b/tests/arch/ice40/wrapcarry.ys
index 10c029e68..10c029e68 100644
--- a/tests/ice40/wrapcarry.ys
+++ b/tests/arch/ice40/wrapcarry.ys
diff --git a/tests/xilinx/.gitignore b/tests/arch/xilinx/.gitignore
index c99b79371..c99b79371 100644
--- a/tests/xilinx/.gitignore
+++ b/tests/arch/xilinx/.gitignore
diff --git a/tests/xilinx/add_sub.v b/tests/arch/xilinx/add_sub.v
index 177c32e30..177c32e30 100644
--- a/tests/xilinx/add_sub.v
+++ b/tests/arch/xilinx/add_sub.v
diff --git a/tests/xilinx/add_sub.ys b/tests/arch/xilinx/add_sub.ys
index f06e7fa01..f06e7fa01 100644
--- a/tests/xilinx/add_sub.ys
+++ b/tests/arch/xilinx/add_sub.ys
diff --git a/tests/xilinx/adffs.v b/tests/arch/xilinx/adffs.v
index 223b52d21..223b52d21 100644
--- a/tests/xilinx/adffs.v
+++ b/tests/arch/xilinx/adffs.v
diff --git a/tests/xilinx/adffs.ys b/tests/arch/xilinx/adffs.ys
index 1923b9802..1923b9802 100644
--- a/tests/xilinx/adffs.ys
+++ b/tests/arch/xilinx/adffs.ys
diff --git a/tests/xilinx/counter.v b/tests/arch/xilinx/counter.v
index 52852f8ac..52852f8ac 100644
--- a/tests/xilinx/counter.v
+++ b/tests/arch/xilinx/counter.v
diff --git a/tests/xilinx/counter.ys b/tests/arch/xilinx/counter.ys
index 459541656..459541656 100644
--- a/tests/xilinx/counter.ys
+++ b/tests/arch/xilinx/counter.ys
diff --git a/tests/xilinx/dffs.v b/tests/arch/xilinx/dffs.v
index 3418787c9..3418787c9 100644
--- a/tests/xilinx/dffs.v
+++ b/tests/arch/xilinx/dffs.v
diff --git a/tests/xilinx/dffs.ys b/tests/arch/xilinx/dffs.ys
index f1716dabb..f1716dabb 100644
--- a/tests/xilinx/dffs.ys
+++ b/tests/arch/xilinx/dffs.ys
diff --git a/tests/xilinx/dsp_simd.ys b/tests/arch/xilinx/dsp_simd.ys
index 956952327..956952327 100644
--- a/tests/xilinx/dsp_simd.ys
+++ b/tests/arch/xilinx/dsp_simd.ys
diff --git a/tests/xilinx/fsm.v b/tests/arch/xilinx/fsm.v
index 368fbaace..368fbaace 100644
--- a/tests/xilinx/fsm.v
+++ b/tests/arch/xilinx/fsm.v
diff --git a/tests/xilinx/fsm.ys b/tests/arch/xilinx/fsm.ys
index a9e94c2c0..a9e94c2c0 100644
--- a/tests/xilinx/fsm.ys
+++ b/tests/arch/xilinx/fsm.ys
diff --git a/tests/xilinx/latches.v b/tests/arch/xilinx/latches.v
index adb5d5319..adb5d5319 100644
--- a/tests/xilinx/latches.v
+++ b/tests/arch/xilinx/latches.v
diff --git a/tests/xilinx/latches.ys b/tests/arch/xilinx/latches.ys
index 3eb550a42..3eb550a42 100644
--- a/tests/xilinx/latches.ys
+++ b/tests/arch/xilinx/latches.ys
diff --git a/tests/xilinx/logic.v b/tests/arch/xilinx/logic.v
index e5343cae0..e5343cae0 100644
--- a/tests/xilinx/logic.v
+++ b/tests/arch/xilinx/logic.v
diff --git a/tests/xilinx/logic.ys b/tests/arch/xilinx/logic.ys
index 9ae5993aa..9ae5993aa 100644
--- a/tests/xilinx/logic.ys
+++ b/tests/arch/xilinx/logic.ys
diff --git a/tests/xilinx/macc.sh b/tests/arch/xilinx/macc.sh
index 86e4c2bb6..86e4c2bb6 100644
--- a/tests/xilinx/macc.sh
+++ b/tests/arch/xilinx/macc.sh
diff --git a/tests/xilinx/macc.v b/tests/arch/xilinx/macc.v
index e36b2bab1..e36b2bab1 100644
--- a/tests/xilinx/macc.v
+++ b/tests/arch/xilinx/macc.v
diff --git a/tests/xilinx/macc.ys b/tests/arch/xilinx/macc.ys
index 6e884b35a..6e884b35a 100644
--- a/tests/xilinx/macc.ys
+++ b/tests/arch/xilinx/macc.ys
diff --git a/tests/xilinx/macc_tb.v b/tests/arch/xilinx/macc_tb.v
index 64aed05c4..64aed05c4 100644
--- a/tests/xilinx/macc_tb.v
+++ b/tests/arch/xilinx/macc_tb.v
diff --git a/tests/xilinx/memory.v b/tests/arch/xilinx/memory.v
index cb7753f7b..cb7753f7b 100644
--- a/tests/xilinx/memory.v
+++ b/tests/arch/xilinx/memory.v
diff --git a/tests/xilinx/memory.ys b/tests/arch/xilinx/memory.ys
index 5402513a2..5402513a2 100644
--- a/tests/xilinx/memory.ys
+++ b/tests/arch/xilinx/memory.ys
diff --git a/tests/xilinx/mul.v b/tests/arch/xilinx/mul.v
index d5b48b1d7..d5b48b1d7 100644
--- a/tests/xilinx/mul.v
+++ b/tests/arch/xilinx/mul.v
diff --git a/tests/xilinx/mul.ys b/tests/arch/xilinx/mul.ys
index 66a06efdc..66a06efdc 100644
--- a/tests/xilinx/mul.ys
+++ b/tests/arch/xilinx/mul.ys
diff --git a/tests/xilinx/mul_unsigned.v b/tests/arch/xilinx/mul_unsigned.v
index e3713a642..e3713a642 100644
--- a/tests/xilinx/mul_unsigned.v
+++ b/tests/arch/xilinx/mul_unsigned.v
diff --git a/tests/xilinx/mul_unsigned.ys b/tests/arch/xilinx/mul_unsigned.ys
index 62495b90c..62495b90c 100644
--- a/tests/xilinx/mul_unsigned.ys
+++ b/tests/arch/xilinx/mul_unsigned.ys
diff --git a/tests/xilinx/mux.v b/tests/arch/xilinx/mux.v
index 27bc0bf0b..27bc0bf0b 100644
--- a/tests/xilinx/mux.v
+++ b/tests/arch/xilinx/mux.v
diff --git a/tests/xilinx/mux.ys b/tests/arch/xilinx/mux.ys
index 420dece4e..420dece4e 100644
--- a/tests/xilinx/mux.ys
+++ b/tests/arch/xilinx/mux.ys
diff --git a/tests/xilinx/pmgen_xilinx_srl.ys b/tests/arch/xilinx/pmgen_xilinx_srl.ys
index ea2f20487..ea2f20487 100644
--- a/tests/xilinx/pmgen_xilinx_srl.ys
+++ b/tests/arch/xilinx/pmgen_xilinx_srl.ys
diff --git a/tests/xilinx/run-test.sh b/tests/arch/xilinx/run-test.sh
index 46716f9a0..46716f9a0 100755
--- a/tests/xilinx/run-test.sh
+++ b/tests/arch/xilinx/run-test.sh
diff --git a/tests/xilinx/shifter.v b/tests/arch/xilinx/shifter.v
index 04ae49d83..04ae49d83 100644
--- a/tests/xilinx/shifter.v
+++ b/tests/arch/xilinx/shifter.v
diff --git a/tests/xilinx/shifter.ys b/tests/arch/xilinx/shifter.ys
index 84e16f41e..84e16f41e 100644
--- a/tests/xilinx/shifter.ys
+++ b/tests/arch/xilinx/shifter.ys
diff --git a/tests/xilinx/tribuf.v b/tests/arch/xilinx/tribuf.v
index c64468253..c64468253 100644
--- a/tests/xilinx/tribuf.v
+++ b/tests/arch/xilinx/tribuf.v
diff --git a/tests/xilinx/tribuf.ys b/tests/arch/xilinx/tribuf.ys
index c9cfb8546..c9cfb8546 100644
--- a/tests/xilinx/tribuf.ys
+++ b/tests/arch/xilinx/tribuf.ys
diff --git a/tests/xilinx/xilinx_srl.v b/tests/arch/xilinx/xilinx_srl.v
index bc2a15ab2..bc2a15ab2 100644
--- a/tests/xilinx/xilinx_srl.v
+++ b/tests/arch/xilinx/xilinx_srl.v
diff --git a/tests/xilinx/xilinx_srl.ys b/tests/arch/xilinx/xilinx_srl.ys
index b8df0e55a..b8df0e55a 100644
--- a/tests/xilinx/xilinx_srl.ys
+++ b/tests/arch/xilinx/xilinx_srl.ys