aboutsummaryrefslogtreecommitdiffstats
diff options
context:
space:
mode:
authorClifford Wolf <clifford@clifford.at>2018-03-31 14:23:57 +0200
committerClifford Wolf <clifford@clifford.at>2018-03-31 14:23:57 +0200
commit93985d91b1d3e4dacf6de5563fc56f82d9123d38 (patch)
treea9b747527eafee499022010d1f5f3a58e763faae
parent7ea8833676d8b388a57be2d0c1c7a6b1e450226a (diff)
downloadyosys-93985d91b1d3e4dacf6de5563fc56f82d9123d38.tar.gz
yosys-93985d91b1d3e4dacf6de5563fc56f82d9123d38.tar.bz2
yosys-93985d91b1d3e4dacf6de5563fc56f82d9123d38.zip
Remove left-over log_ping debug commands.. oops.
Signed-off-by: Clifford Wolf <clifford@clifford.at>
-rw-r--r--frontends/verific/verificsva.cc4
1 files changed, 0 insertions, 4 deletions
diff --git a/frontends/verific/verificsva.cc b/frontends/verific/verificsva.cc
index 1a1000b19..8dc213a18 100644
--- a/frontends/verific/verificsva.cc
+++ b/frontends/verific/verificsva.cc
@@ -1554,20 +1554,16 @@ struct VerificSvaImporter
parser_error(stringf("Failed to parse SVA clocking"), root);
if (mode_assert || mode_assume) {
- log_ping();
reject_bit = module->Not(NEW_ID, parse_expression(root->GetInput()));
} else {
- log_ping();
accept_bit = parse_expression(root->GetInput());
}
}
else
{
if (mode_assert || mode_assume) {
- log_ping();
parse_property(clocking.body_net, nullptr, &reject_bit);
} else {
- log_ping();
parse_property(clocking.body_net, &accept_bit, nullptr);
}
}