aboutsummaryrefslogtreecommitdiffstats
diff options
context:
space:
mode:
authorAndrew Zonenberg <azonenberg@drawersteak.com>2017-08-30 16:27:18 -0700
committerAndrew Zonenberg <azonenberg@drawersteak.com>2017-08-30 16:28:25 -0700
commit634f18be961683917ca589bed1a44b8031f06764 (patch)
treed9032eaa7e307fb6d717ef294e8e3d1c2af46b2a
parent3fc1b9f3fdd7f5e8aca25b266cbfea90b519cc42 (diff)
downloadyosys-634f18be961683917ca589bed1a44b8031f06764.tar.gz
yosys-634f18be961683917ca589bed1a44b8031f06764.tar.bz2
yosys-634f18be961683917ca589bed1a44b8031f06764.zip
extract_counter: Minor changes requested to comply with upstream policy, fixed a few typos
-rw-r--r--passes/techmap/extract_counter.cc7
-rw-r--r--techlibs/greenpak4/cells_map.v6
-rw-r--r--techlibs/greenpak4/synth_greenpak4.cc2
3 files changed, 8 insertions, 7 deletions
diff --git a/passes/techmap/extract_counter.cc b/passes/techmap/extract_counter.cc
index c3e7e9400..6b4ea13e2 100644
--- a/passes/techmap/extract_counter.cc
+++ b/passes/techmap/extract_counter.cc
@@ -385,7 +385,7 @@ void counter_worker(
}
//Get new cell name
- string countname = string("$auto$COUNTx$") + log_id(extract.rwire->name.str());
+ string countname = string("$COUNTx$") + log_id(extract.rwire->name.str());
//Log it
total_counters ++;
@@ -484,6 +484,7 @@ struct ExtractCounterPass : public Pass {
log("\n");
log(" -maxwidth N\n");
log(" Only extract counters up to N bits wide\n");
+ log("\n");
log(" -pout X,Y,...\n");
log(" Only allow parallel output from the counter to the listed cell types\n");
log(" (if not specified, parallel outputs are not restricted)\n");
@@ -513,13 +514,13 @@ struct ExtractCounterPass : public Pass {
{
if(pouts[i] == ',')
{
- parallel_cells.insert(RTLIL::IdString(tmp));
+ parallel_cells.insert(RTLIL::escape_id(tmp));
tmp = "";
}
else
tmp += pouts[i];
}
- parallel_cells.insert(RTLIL::IdString(tmp));
+ parallel_cells.insert(RTLIL::escape_id(tmp));
continue;
}
diff --git a/techlibs/greenpak4/cells_map.v b/techlibs/greenpak4/cells_map.v
index 1450eac2e..b0ec9fd3e 100644
--- a/techlibs/greenpak4/cells_map.v
+++ b/techlibs/greenpak4/cells_map.v
@@ -164,7 +164,7 @@ module \$__COUNT_ (CE, CLK, OUT, POUT, RST, UP);
//If we have a CE, or DIRECTION other than DOWN fail... GP_COUNTx_ADV is not supported yet
if(HAS_CE || (DIRECTION != "DOWN") ) begin
initial begin
- $display("ERROR: \$__COUNT__ support for GP_COUNTx_ADV is not yet implemented. This counter should never have been extracted (bug in extract_counter pass?).");
+ $display("ERROR: \$__COUNT_ support for GP_COUNTx_ADV is not yet implemented. This counter should never have been extracted (bug in extract_counter pass?).");
$finish;
end
end
@@ -172,7 +172,7 @@ module \$__COUNT_ (CE, CLK, OUT, POUT, RST, UP);
//If counter is more than 14 bits wide, complain (also shouldn't happen)
else if(WIDTH > 14) begin
initial begin
- $display("ERROR: \$__COUNT__ support for cascaded counters is not yet implemented. This counter should never have been extracted (bug in extract_counter pass?).");
+ $display("ERROR: \$__COUNT_ support for cascaded counters is not yet implemented. This counter should never have been extracted (bug in extract_counter pass?).");
$finish;
end
end
@@ -180,7 +180,7 @@ module \$__COUNT_ (CE, CLK, OUT, POUT, RST, UP);
//If counter is more than 8 bits wide and has parallel output, we have a problem
else if(WIDTH > 8 && HAS_POUT) begin
initial begin
- $display("ERROR: \$__COUNT__ support for 9-14 bit counters with parallel output is not yet implemented. This counter should never have been extracted (bug in extract_counter pass?).");
+ $display("ERROR: \$__COUNT_ support for 9-14 bit counters with parallel output is not yet implemented. This counter should never have been extracted (bug in extract_counter pass?).");
$finish;
end
end
diff --git a/techlibs/greenpak4/synth_greenpak4.cc b/techlibs/greenpak4/synth_greenpak4.cc
index 56ea8003e..5e0e9e5d5 100644
--- a/techlibs/greenpak4/synth_greenpak4.cc
+++ b/techlibs/greenpak4/synth_greenpak4.cc
@@ -155,7 +155,7 @@ struct SynthGreenPAK4Pass : public ScriptPass
if (check_label("fine"))
{
- run("extract_counter -pout \\GP_DCMP,\\GP_DAC -maxwidth 14");
+ run("extract_counter -pout GP_DCMP,GP_DAC -maxwidth 14");
run("clean");
run("opt -fast -mux_undef -undriven -fine");
run("memory_map");