aboutsummaryrefslogtreecommitdiffstats
diff options
context:
space:
mode:
authorEddie Hung <eddie@fpgeh.com>2020-01-23 18:53:14 -0800
committerEddie Hung <eddie@fpgeh.com>2020-01-23 18:53:14 -0800
commit48aec34e0dbb6918e38ef2b80cdbbd8bb992d0f5 (patch)
tree6e814e6fade7cb45c4a42b7c6bf56572f435f941
parent1d4314d88853feb1fa6af13fe56274d53d81d853 (diff)
downloadyosys-48aec34e0dbb6918e38ef2b80cdbbd8bb992d0f5.tar.gz
yosys-48aec34e0dbb6918e38ef2b80cdbbd8bb992d0f5.tar.bz2
yosys-48aec34e0dbb6918e38ef2b80cdbbd8bb992d0f5.zip
abc_box_id -> abc9_box_id in test
-rw-r--r--tests/simple_abc9/abc9.v2
1 files changed, 1 insertions, 1 deletions
diff --git a/tests/simple_abc9/abc9.v b/tests/simple_abc9/abc9.v
index 8afd0ce96..ee01ab5dc 100644
--- a/tests/simple_abc9/abc9.v
+++ b/tests/simple_abc9/abc9.v
@@ -213,7 +213,7 @@ module arbiter (clk, rst, request, acknowledge, grant, grant_valid, grant_encode
input rst;
endmodule
-(* abc_box_id=1 *)
+(* abc9_box_id=1 *)
module MUXF8(input I0, I1, S, output O);
endmodule